summaryrefslogtreecommitdiff
path: root/index.php
blob: 35f865a002a3a28640384a96fa111598f53a0a6f (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
<?php
// $Id$

include_once "includes/common.inc";

drupal_page_header();

fix_gpc_magic();

menu_build("system");

$mod = arg(0);

if (isset($mod) && module_hook($mod, "page")) {
  module_invoke($mod, "page");
}
else {
  if (module_hook(variable_get("site_frontpage", "node"), "page")) {
    module_invoke(variable_get("site_frontpage", "node"), "page");
  }
  else {
    theme("header");
    theme("footer");
  }
}

drupal_page_footer();

?>