summaryrefslogtreecommitdiff
path: root/module.php
blob: 731fab2743536498e995aed4630f6b891fd91337 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
<?php
// $Id$

include_once "includes/common.inc";

page_header();

module_invoke($mod, "page");

page_footer();

?>