summaryrefslogtreecommitdiff
path: root/inc/geshi.php
diff options
context:
space:
mode:
authorAndreas Gohr <andi@splitbrain.org>2006-09-23 12:55:45 +0200
committerAndreas Gohr <andi@splitbrain.org>2006-09-23 12:55:45 +0200
commit364cc9ca5e57febb36f1df23fa3eef8ad048fb49 (patch)
treefe6eac6ff9ec01d644eb0f5d81f4be480d09a7d6 /inc/geshi.php
parent8e6cfd4d8687ecdcf3c20b31a34a0f85b1b6d6fb (diff)
downloadrpg-364cc9ca5e57febb36f1df23fa3eef8ad048fb49.tar.gz
rpg-364cc9ca5e57febb36f1df23fa3eef8ad048fb49.tar.bz2
GeSHi upgrade to 1.0.7.14
darcs-hash:20060923105545-7ad00-52ca67905f51cb7d4782ff87276c01164b52daec.gz
Diffstat (limited to 'inc/geshi.php')
-rw-r--r--inc/geshi.php4
1 files changed, 2 insertions, 2 deletions
diff --git a/inc/geshi.php b/inc/geshi.php
index 92d12352d..bbed187e0 100644
--- a/inc/geshi.php
+++ b/inc/geshi.php
@@ -29,7 +29,7 @@
* @author Nigel McNie <nigel@geshi.org>
* @copyright Copyright &copy; 2004, 2005, Nigel McNie
* @license http://gnu.org/copyleft/gpl.html GNU GPL
- * @version $Id: geshi.php,v 1.40.2.7 2006/08/07 11:06:41 oracleshinoda Exp $
+ * @version $Id: geshi.php,v 1.40.2.8 2006/08/22 10:42:32 oracleshinoda Exp $
*
*/
@@ -41,7 +41,7 @@
//
/** The version of this GeSHi file */
-define('GESHI_VERSION', '1.0.7.13');
+define('GESHI_VERSION', '1.0.7.14');
/** Set the correct directory separator */
define('GESHI_DIR_SEPARATOR', ('WIN' != substr(PHP_OS, 0, 3)) ? '/' : '\\');