summaryrefslogtreecommitdiff
path: root/inc/geshi/c.php
diff options
context:
space:
mode:
authorAndreas Gohr <andi@splitbrain.org>2006-07-23 22:32:32 +0200
committerAndreas Gohr <andi@splitbrain.org>2006-07-23 22:32:32 +0200
commit850d6bf6605add7df9c86492766d6427b48ef994 (patch)
tree8fee9520e2652ac723356e6abc6c70e1d9d2202f /inc/geshi/c.php
parentf29dc969d01ee203ff62ce2fd38ba3d7d66935a9 (diff)
downloadrpg-850d6bf6605add7df9c86492766d6427b48ef994.tar.gz
rpg-850d6bf6605add7df9c86492766d6427b48ef994.tar.bz2
GeSHi update to 1.0.7.12
darcs-hash:20060723203232-7ad00-a675d33962148272e5dd13ac2190ef259f8eaad2.gz
Diffstat (limited to 'inc/geshi/c.php')
-rw-r--r--inc/geshi/c.php6
1 files changed, 3 insertions, 3 deletions
diff --git a/inc/geshi/c.php b/inc/geshi/c.php
index ad9fad8dd..d7991aa5a 100644
--- a/inc/geshi/c.php
+++ b/inc/geshi/c.php
@@ -6,10 +6,10 @@
* Contributors:
* - Jack Lloyd (lloyd@randombit.net)
* Copyright: (c) 2004 Nigel McNie (http://qbnz.com/highlighter/)
- * Release Version: 1.0.7.11
- * CVS Revision Version: $Revision: 1.13 $
+ * Release Version: 1.0.7.12
+ * CVS Revision Version: $Revision: 1.13.2.2 $
* Date Started: 2004/06/04
- * Last Modified: $Date: 2006/05/21 00:06:56 $
+ * Last Modified: $Date: 2006/07/22 11:30:30 $
*
* C language file for GeSHi.
*