summaryrefslogtreecommitdiff
path: root/inc/geshi/div.php
diff options
context:
space:
mode:
authorAndreas Gohr <andi@splitbrain.org>2007-02-26 19:00:35 +0100
committerAndreas Gohr <andi@splitbrain.org>2007-02-26 19:00:35 +0100
commitbd3f1f0ac0ddbf58f8c4ec3213098093dd657612 (patch)
tree674ca7f34ed49452c7536ca65fcbb0d3b47bad1d /inc/geshi/div.php
parentadb16d4f36e6e2cfb75ce8c8d42724c7705dfe3c (diff)
downloadrpg-bd3f1f0ac0ddbf58f8c4ec3213098093dd657612.tar.gz
rpg-bd3f1f0ac0ddbf58f8c4ec3213098093dd657612.tar.bz2
GeSHi update to 1.0.7.18
darcs-hash:20070226180035-7ad00-c73a6af59b915e2930c6f56a23d374478a63f87d.gz
Diffstat (limited to 'inc/geshi/div.php')
-rw-r--r--inc/geshi/div.php4
1 files changed, 1 insertions, 3 deletions
diff --git a/inc/geshi/div.php b/inc/geshi/div.php
index 6d938849d..1348984f4 100644
--- a/inc/geshi/div.php
+++ b/inc/geshi/div.php
@@ -4,10 +4,8 @@
* ---------------------------------
* Author: Gabriel Lorenzo (ermakina@gmail.com)
* Copyright: (c) 2005 Gabriel Lorenzo (http://ermakina.gazpachito.net)
- * Release Version: 1.0.7.17
- * CVS Revision Version: $Revision: 866 $
+ * Release Version: 1.0.7.18
* Date Started: 2005/06/19
- * Last Modified: $Date: 2006-11-26 21:40:26 +1300 (Sun, 26 Nov 2006) $
*
* DIV language file for GeSHi.
*