summaryrefslogtreecommitdiff
path: root/inc/geshi/ini.php
diff options
context:
space:
mode:
authorAndreas Gohr <andi@splitbrain.org>2006-07-23 22:32:32 +0200
committerAndreas Gohr <andi@splitbrain.org>2006-07-23 22:32:32 +0200
commit850d6bf6605add7df9c86492766d6427b48ef994 (patch)
tree8fee9520e2652ac723356e6abc6c70e1d9d2202f /inc/geshi/ini.php
parentf29dc969d01ee203ff62ce2fd38ba3d7d66935a9 (diff)
downloadrpg-850d6bf6605add7df9c86492766d6427b48ef994.tar.gz
rpg-850d6bf6605add7df9c86492766d6427b48ef994.tar.bz2
GeSHi update to 1.0.7.12
darcs-hash:20060723203232-7ad00-a675d33962148272e5dd13ac2190ef259f8eaad2.gz
Diffstat (limited to 'inc/geshi/ini.php')
-rw-r--r--inc/geshi/ini.php8
1 files changed, 4 insertions, 4 deletions
diff --git a/inc/geshi/ini.php b/inc/geshi/ini.php
index 44f71f4e5..8ceffcdf7 100644
--- a/inc/geshi/ini.php
+++ b/inc/geshi/ini.php
@@ -4,10 +4,10 @@
* --------
* Author: deguix (cevo_deguix@yahoo.com.br)
* Copyright: (c) 2005 deguix
- * Release Version: 1.0.7.11
- * CVS Revision Version: $Revision: 1.14 $
+ * Release Version: 1.0.7.12
+ * CVS Revision Version: $Revision: 1.14.2.3 $
* Date Started: 2005/03/27
- * Last Modified: $Date: 2006/05/21 00:06:56 $
+ * Last Modified: $Date: 2006/07/22 11:30:31 $
*
* INI language file for GeSHi.
*
@@ -43,7 +43,7 @@
************************************************************************************/
$language_data = array (
- 'LANG_NAME' => 'ini',
+ 'LANG_NAME' => 'INI',
'COMMENT_SINGLE' => array(0 => ';'),
'COMMENT_MULTI' => array(),
'CASE_KEYWORDS' => GESHI_CAPS_NO_CHANGE,