summaryrefslogtreecommitdiff
path: root/inc/geshi/prolog.php
diff options
context:
space:
mode:
authorAndreas Gohr <andi@splitbrain.org>2010-08-29 09:53:46 +0200
committerAndreas Gohr <andi@splitbrain.org>2010-08-29 09:53:46 +0200
commit7f0d7314a01c4e97a23d7d89bd9a839accd2776a (patch)
treedf8b38bf05fff59f8ddc06c7ca521f019ed8d81d /inc/geshi/prolog.php
parent272000286c073349cd0ba898df00c4fe81492461 (diff)
downloadrpg-7f0d7314a01c4e97a23d7d89bd9a839accd2776a.tar.gz
rpg-7f0d7314a01c4e97a23d7d89bd9a839accd2776a.tar.bz2
GeSHi updated to 1.0.8.8
Diffstat (limited to 'inc/geshi/prolog.php')
-rw-r--r--inc/geshi/prolog.php6
1 files changed, 3 insertions, 3 deletions
diff --git a/inc/geshi/prolog.php b/inc/geshi/prolog.php
index 1f35a1b82..4dd01ff7e 100644
--- a/inc/geshi/prolog.php
+++ b/inc/geshi/prolog.php
@@ -4,7 +4,7 @@
* --------
* Author: Benny Baumann (BenBE@geshi.org)
* Copyright: (c) 2008 Benny Baumann (http://qbnz.com/highlighter/)
- * Release Version: 1.0.8.6
+ * Release Version: 1.0.8.8
* Date Started: 2008/10/02
*
* Prolog language file for GeSHi.
@@ -130,7 +130,7 @@ $language_data = array (
),
'REGEXPS' => array(
//Variables
- 0 => "(?<![A-Z_])(?!(?:PIPE|SEMI)[^a-zA-Z0-9_])[A-Z_][a-zA-Z0-9_]*(?![a-zA-Z0-9_])"
+ 0 => "(?<![a-zA-Z0-9_])(?!(?:PIPE|SEMI|DOT)[^a-zA-Z0-9_])[A-Z_][a-zA-Z0-9_]*(?![a-zA-Z0-9_])(?!\x7C)"
),
'STRICT_MODE_APPLIES' => GESHI_NEVER,
'SCRIPT_DELIMITERS' => array(
@@ -140,4 +140,4 @@ $language_data = array (
'TAB_WIDTH' => 4
);
-?>
+?> \ No newline at end of file