diff options
author | Andreas Gohr <andi@splitbrain.org> | 2012-08-26 17:18:46 +0200 |
---|---|---|
committer | Andreas Gohr <andi@splitbrain.org> | 2012-08-26 17:18:46 +0200 |
commit | 15c2c8532309b462a4d0c22e2358e80a1c4b7a20 (patch) | |
tree | 0a1c626b821df2a57f666c2d0c99b3d7ede498ff /inc/geshi/verilog.php | |
parent | c3564cf99ca2ff7b35e27d0ab319e17d67b17943 (diff) | |
download | rpg-15c2c8532309b462a4d0c22e2358e80a1c4b7a20.tar.gz rpg-15c2c8532309b462a4d0c22e2358e80a1c4b7a20.tar.bz2 |
GeSHi upgraded to version 1.0.8.11
Diffstat (limited to 'inc/geshi/verilog.php')
-rw-r--r-- | inc/geshi/verilog.php | 4 |
1 files changed, 2 insertions, 2 deletions
diff --git a/inc/geshi/verilog.php b/inc/geshi/verilog.php index 9e4211eb9..2bf66d1c0 100644 --- a/inc/geshi/verilog.php +++ b/inc/geshi/verilog.php @@ -4,7 +4,7 @@ * ----------- * Author: G�nter Dannoritzer <dannoritzer@web.de> * Copyright: (C) 2008 Guenter Dannoritzer - * Release Version: 1.0.8.10 + * Release Version: 1.0.8.11 * Date Started: 2008/05/28 * * Verilog language file for GeSHi. @@ -53,7 +53,7 @@ $language_data = array ( 'casex', 'casez', 'cmos', 'deassign', 'default', 'defparam', 'disable', 'edge', 'else', 'end', 'endcase', 'endfunction', 'endmodule', 'endprimitive', 'endspecify', 'endtable', 'endtask', - 'event', 'for', 'force', 'forever', 'function', 'highz0', + 'event', 'fork', 'for', 'force', 'forever', 'function', 'highz0', 'highz1', 'if', 'ifnone', 'initial', 'inout', 'input', 'integer', 'join', 'large', 'macromodule', 'medium', 'module', 'nand', 'negedge', 'nmos', 'nor', 'not', 'notif0', 'notif1', 'or', |