summaryrefslogtreecommitdiff
path: root/inc/geshi
diff options
context:
space:
mode:
authorandi <andi@splitbrain.org>2005-07-14 21:33:36 +0200
committerandi <andi@splitbrain.org>2005-07-14 21:33:36 +0200
commitb5bef19b5dbebf123d1c181b3cebadea198add35 (patch)
treeb81c4d91703ded1419729dae7e54771212b66f09 /inc/geshi
parentb047a4cfb6b85b30af11a520eea9e8953015b0a5 (diff)
downloadrpg-b5bef19b5dbebf123d1c181b3cebadea198add35.tar.gz
rpg-b5bef19b5dbebf123d1c181b3cebadea198add35.tar.bz2
updated GeSHi to 1.0.7
darcs-hash:20050714193336-9977f-c0d5b8e6db9f92720b1f8b539fdef1f04823f5f7.gz
Diffstat (limited to 'inc/geshi')
-rw-r--r--inc/geshi/actionscript.php2
-rw-r--r--inc/geshi/ada.php5
-rw-r--r--inc/geshi/apache.php5
-rw-r--r--inc/geshi/asm.php2
-rw-r--r--inc/geshi/asp.php2
-rw-r--r--inc/geshi/bash.php2
-rw-r--r--inc/geshi/c.php2
-rw-r--r--inc/geshi/c_mac.php2
-rw-r--r--inc/geshi/caddcl.php2
-rw-r--r--inc/geshi/cadlisp.php2
-rw-r--r--inc/geshi/cpp.php6
-rw-r--r--inc/geshi/csharp.php2
-rw-r--r--inc/geshi/css.php11
-rw-r--r--inc/geshi/d.php287
-rw-r--r--inc/geshi/delphi.php2
-rw-r--r--inc/geshi/diff.php137
-rw-r--r--inc/geshi/html4strict.php2
-rw-r--r--inc/geshi/java.php39
-rw-r--r--inc/geshi/javascript.php2
-rw-r--r--inc/geshi/lisp.php2
-rw-r--r--inc/geshi/lua.php2
-rw-r--r--inc/geshi/matlab.php109
-rw-r--r--inc/geshi/mpasm.php2
-rw-r--r--inc/geshi/nsis.php2
-rw-r--r--inc/geshi/objc.php2
-rw-r--r--inc/geshi/oobas.php2
-rw-r--r--inc/geshi/oracle8.php4
-rw-r--r--inc/geshi/pascal.php2
-rw-r--r--inc/geshi/perl.php2
-rw-r--r--inc/geshi/php-brief.php2
-rw-r--r--inc/geshi/php.php12
-rw-r--r--inc/geshi/python.php171
-rw-r--r--inc/geshi/qbasic.php2
-rw-r--r--inc/geshi/smarty.php8
-rw-r--r--inc/geshi/sql.php6
-rw-r--r--inc/geshi/vb.php2
-rw-r--r--inc/geshi/vbnet.php7
-rw-r--r--inc/geshi/vhdl.php140
-rw-r--r--inc/geshi/xml.php8
39 files changed, 870 insertions, 131 deletions
diff --git a/inc/geshi/actionscript.php b/inc/geshi/actionscript.php
index f04e2a1a6..8373c2abf 100644
--- a/inc/geshi/actionscript.php
+++ b/inc/geshi/actionscript.php
@@ -7,7 +7,7 @@
* Release Version: 1.0.6
* CVS Revision Version: $Revision: 1.1 $
* Date Started: 2004/06/20
- * Last Modified: $Date: 2005/01/29 01:48:39 $
+ * Last Modified: $Date: 2005/06/02 04:57:18 $
*
* Actionscript language file for GeSHi.
*
diff --git a/inc/geshi/ada.php b/inc/geshi/ada.php
index 7ce4f52ee..848b3b1bf 100644
--- a/inc/geshi/ada.php
+++ b/inc/geshi/ada.php
@@ -5,9 +5,9 @@
* Author: Tux (tux@inmail.cz)
* Copyright: (c) 2004 Tux (http://tux.a4.cz/), Nigel McNie (http://qbnz.com/highlighter)
* Release Version: 1.0.6
- * CVS Revision Version: $Revision: 1.1 $
+ * CVS Revision Version: $Revision: 1.2 $
* Date Started: 2004/07/29
- * Last Modified: $Date: 2005/01/29 01:48:39 $
+ * Last Modified: $Date: 2005/06/13 22:27:06 $
*
* Ada language file for GeSHi.
* Words are from SciTe configuration file
@@ -133,4 +133,3 @@ $language_data = array (
);
?>
-
diff --git a/inc/geshi/apache.php b/inc/geshi/apache.php
index 78e5f76ce..893090965 100644
--- a/inc/geshi/apache.php
+++ b/inc/geshi/apache.php
@@ -5,9 +5,9 @@
* Author: Tux (tux@inmail.cz)
* Copyright: (c) 2004 Tux (http://tux.a4.cz/), Nigel McNie (http://qbnz.com/highlighter)
* Release Version: 1.0.6
- * CVS Revision Version: $Revision: 1.1 $
+ * CVS Revision Version: $Revision: 1.2 $
* Date Started: 2004/29/07
- * Last Modified: $Date: 2005/01/29 01:48:39 $
+ * Last Modified: $Date: 2005/06/13 22:27:06 $
*
* Apache language file for GeSHi.
* Words are from SciTe configuration file
@@ -171,4 +171,3 @@ $language_data = array (
);
?>
-
diff --git a/inc/geshi/asm.php b/inc/geshi/asm.php
index d2836c18e..9cbf59ef8 100644
--- a/inc/geshi/asm.php
+++ b/inc/geshi/asm.php
@@ -7,7 +7,7 @@
* Release Version: 1.0.6
* CVS Revision Version: $Revision: 1.1 $
* Date Started: 2004/07/27
- * Last Modified: $Date: 2005/01/29 01:48:39 $
+ * Last Modified: $Date: 2005/06/02 04:57:18 $
*
* x86 Assembler language file for GeSHi.
* Words are from SciTe configuration file (based on NASM syntax)
diff --git a/inc/geshi/asp.php b/inc/geshi/asp.php
index e4d3884e1..5135d4771 100644
--- a/inc/geshi/asp.php
+++ b/inc/geshi/asp.php
@@ -7,7 +7,7 @@
* Release Version: 1.0.6
* CVS Revision Version: $Revision: 1.1 $
* Date Started: 2004/08/13
- * Last Modified: $Date: 2005/01/29 01:48:39 $
+ * Last Modified: $Date: 2005/06/02 04:57:18 $
*
* ASP language file for GeSHi.
*
diff --git a/inc/geshi/bash.php b/inc/geshi/bash.php
index 65631998a..3cfa98559 100644
--- a/inc/geshi/bash.php
+++ b/inc/geshi/bash.php
@@ -7,7 +7,7 @@
* Release Version: 1.0.6
* CVS Revision Version: $Revision: 1.1 $
* Date Started: 2004/08/20
- * Last Modified: $Date: 2005/01/29 01:48:39 $
+ * Last Modified: $Date: 2005/06/02 04:57:18 $
*
* BASH language file for GeSHi.
*
diff --git a/inc/geshi/c.php b/inc/geshi/c.php
index 896a588c2..d2fb41e35 100644
--- a/inc/geshi/c.php
+++ b/inc/geshi/c.php
@@ -9,7 +9,7 @@
* Release Version: 1.0.6
* CVS Revision Version: $Revision: 1.1 $
* Date Started: 2004/06/04
- * Last Modified: $Date: 2005/01/29 01:48:39 $
+ * Last Modified: $Date: 2005/06/02 04:57:18 $
*
* C language file for GeSHi.
*
diff --git a/inc/geshi/c_mac.php b/inc/geshi/c_mac.php
index 2527cbf8e..d65cb9e70 100644
--- a/inc/geshi/c_mac.php
+++ b/inc/geshi/c_mac.php
@@ -7,7 +7,7 @@
* Release Version: 1.0.6
* CVS Revision Version: $Revision: 1.1 $
* Date Started: 2004/06/04
- * Last Modified: $Date: 2005/01/29 01:48:39 $
+ * Last Modified: $Date: 2005/06/02 04:57:18 $
*
* C for Macs language file for GeSHi.
*
diff --git a/inc/geshi/caddcl.php b/inc/geshi/caddcl.php
index 84deade8b..9eb542db5 100644
--- a/inc/geshi/caddcl.php
+++ b/inc/geshi/caddcl.php
@@ -7,7 +7,7 @@
* Release Version: 1.0.6
* CVS Revision Version: $Revision: 1.1 $
* Date Started: 2004/08/30
- * Last Modified: $Date: 2005/01/29 01:48:39 $
+ * Last Modified: $Date: 2005/06/02 04:57:18 $
*
* CAD DCL (Dialog Control Language) file for GeSHi.
*
diff --git a/inc/geshi/cadlisp.php b/inc/geshi/cadlisp.php
index dfeb57db3..16b56c067 100644
--- a/inc/geshi/cadlisp.php
+++ b/inc/geshi/cadlisp.php
@@ -7,7 +7,7 @@
* Release Version: 1.0.6
* CVS Revision Version: $Revision: 1.1 $
* Date Started: 2004/08/30
- * Last Modified: $Date: 2005/01/29 01:48:39 $
+ * Last Modified: $Date: 2005/06/02 04:57:18 $
*
* AutoCAD/IntelliCAD Lisp language file for GeSHi.
*
diff --git a/inc/geshi/cpp.php b/inc/geshi/cpp.php
index fd8a3d6ca..0e2d10ed2 100644
--- a/inc/geshi/cpp.php
+++ b/inc/geshi/cpp.php
@@ -8,9 +8,9 @@
* - Jack Lloyd (lloyd@randombit.net)
* Copyright: (c) 2004 Dennis Bayer, Nigel McNie (http://qbnz.com/highlighter)
* Release Version: 1.0.6
- * CVS Revision Version: $Revision: 1.1 $
+ * CVS Revision Version: $Revision: 1.2 $
* Date Started: 2004/09/27
- * Last Modified: $Date: 2005/01/29 01:48:39 $
+ * Last Modified: $Date: 2005/06/13 22:27:06 $
*
* C++ language file for GeSHi.
*
@@ -170,5 +170,3 @@ $language_data = array (
);
?>
-
-
diff --git a/inc/geshi/csharp.php b/inc/geshi/csharp.php
index efb4e6a74..981f04488 100644
--- a/inc/geshi/csharp.php
+++ b/inc/geshi/csharp.php
@@ -7,7 +7,7 @@
* Release Version: 1.0.6
* CVS Revision Version: $Revision: 1.1 $
* Date Started: 2004/06/04
- * Last Modified: $Date: 2005/01/29 01:48:39 $
+ * Last Modified: $Date: 2005/06/02 04:57:18 $
*
* C# language file for GeSHi.
*
diff --git a/inc/geshi/css.php b/inc/geshi/css.php
index cea30cdd2..03f6c1409 100644
--- a/inc/geshi/css.php
+++ b/inc/geshi/css.php
@@ -5,9 +5,9 @@
* Author: Nigel McNie (oracle.shinoda@gmail.com)
* Copyright: (c) 2004 Nigel McNie (http://qbnz.com/highlighter/)
* Release Version: 1.0.6
- * CVS Revision Version: $Revision: 1.1 $
+ * CVS Revision Version: $Revision: 1.2 $
* Date Started: 2004/06/18
- * Last Modified: $Date: 2005/01/29 01:48:39 $
+ * Last Modified: $Date: 2005/06/13 22:27:48 $
*
* CSS language file for GeSHi.
*
@@ -67,7 +67,7 @@ $language_data = array (
'border-right-style', 'border-right-width', 'border-top-color',
'border-top-style', 'border-top-width','border-bottom', 'border-collapse',
'border-left', 'border-width', 'border-color', 'border-spacing',
- 'border-style', 'border-top', 'border', 'bottom', 'caption-side',
+ 'border-style', 'border-top', 'border', 'caption-side',
'clear', 'clip', 'color', 'content', 'counter-increment', 'counter-reset',
'cue-after', 'cue-before', 'cue', 'cursor', 'direction', 'display',
'elevation', 'empty-cells', 'float', 'font-family', 'font-size',
@@ -85,12 +85,13 @@ $language_data = array (
'speak', 'speech-rate', 'stress', 'table-layout', 'text-align', 'text-decoration',
'text-indent', 'text-shadow', 'text-transform', 'top', 'unicode-bidi',
'vertical-align', 'visibility', 'voice-family', 'volume', 'white-space', 'widows',
- 'width', 'word-spacing', 'z-index'
+ 'width', 'word-spacing', 'z-index', 'bottom'
),
2 => array(
'above', 'absolute', 'always', 'armenian', 'aural', 'auto', 'avoid',
'baseline', 'behind', 'below', 'bidi-override', 'blink', 'block', 'bold', 'bolder', 'both',
- 'capitalize', 'center-left', 'center-right', 'center', 'circle', 'cjk-ideographic', 'close-quote', 'collapse', 'condensed', 'continuous', 'crop', 'crosshair', 'cross', 'cursive',
+ 'capitalize', 'center-left', 'center-right', 'center', 'circle', 'cjk-ideographic',
+ 'close-quote', 'collapse', 'condensed', 'continuous', 'crop', 'crosshair', 'cross', 'cursive',
'dashed', 'decimal-leading-zero', 'decimal', 'default', 'digits', 'disc', 'dotted', 'double',
'e-resize', 'embed', 'extra-condensed', 'extra-expanded', 'expanded',
'fantasy', 'far-left', 'far-right', 'faster', 'fast', 'fixed', 'fuchsia',
diff --git a/inc/geshi/d.php b/inc/geshi/d.php
new file mode 100644
index 000000000..d69515531
--- /dev/null
+++ b/inc/geshi/d.php
@@ -0,0 +1,287 @@
+<?php
+/*************************************************************************************
+ * d.php
+ * -----
+ * Author: Thomas Kuehne (thomas@kuehne.cn)
+ * Copyright: (c) 2005 Thomas Kuehne (http://thomas.kuehne.cn/)
+ * Release Version: 1.0.0
+ * CVS Revision Version: $Revision: 1.1 $
+ * Date Started: 2005/04/22
+ * Last Modified: $Date: 2005/06/15 12:06:28 $
+ *
+ * D language file for GeSHi.
+ *
+ * CHANGES
+ * -------
+ * 2005/04/22 (0.0.2)
+ * - added _d_* and sizeof/ptrdiff_t
+ * 2005/04/20 (0.0.1)
+ * - First release
+ *
+ * TODO (updated 2005/04/22)
+ * -------------------------
+ * * nested comments
+ * * correct handling of r"" and ``
+ * * correct handling of ... and ..
+ *
+ *************************************************************************************
+ *
+ * This file is part of GeSHi.
+ *
+ * GeSHi is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 2 of the License, or
+ * (at your option) any later version.
+ *
+ * GeSHi is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
+ * GNU General Public License for more details.
+ *
+ * You should have received a copy of the GNU General Public License
+ * along with GeSHi; if not, write to the Free Software
+ * Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+ *
+ ************************************************************************************/
+
+$language_data = array (
+ 'LANG_NAME' => 'D',
+ 'COMMENT_SINGLE' => array(1 => '//'),
+ 'COMMENT_MULTI' => array('/*' => '*/'),
+ 'CASE_KEYWORDS' => GESHI_CAPS_NO_CHANGE,
+ 'QUOTEMARKS' => array('"', "'", '`'),
+ 'ESCAPE_CHAR' => '\\',
+ 'KEYWORDS' => array(
+ 1 => array(
+ 'while',
+ 'switch',
+ 'if',
+ 'foreach',
+ 'for',
+ 'goto',
+ 'return',
+ 'else',
+ 'do',
+ 'case',
+ 'continue',
+ 'break'
+ ),
+ 2 => array(
+ 'with',
+ 'union',
+ 'typeof',
+ 'typeid',
+ 'typedef',
+ 'try',
+ 'true',
+ 'throw',
+ 'this',
+ 'super',
+ 'pragma',
+ 'out',
+ 'null',
+ 'new',
+ 'module',
+ 'mixin',
+ 'is',
+ 'invariant',
+ 'interface',
+ 'inout',
+ 'in',
+ 'import',
+ 'function',
+ 'finally',
+ 'false',
+ 'extern',
+ 'delete',
+ 'delegate',
+ 'default',
+ 'catch',
+ 'cast',
+ 'body',
+ 'assert',
+ 'asm',
+ 'alias'
+ ),
+ 3 => array(
+ 'TypeInfo',
+ 'SwitchError',
+ 'OutOfMemoryException',
+ 'Object',
+ 'ModuleInfo',
+ 'Interface',
+ 'Exception',
+ 'Error',
+ 'ClassInfo',
+ 'ArrayBoundsError',
+ 'AssertError',
+ '_d_throw',
+ '_d_switch_ustring',
+ '_d_switch_string',
+ '_d_switch_dstring',
+ '_d_OutOfMemory',
+ '_d_obj_eq',
+ '_d_obj_cmp',
+ '_d_newclass',
+ '_d_newbitarray',
+ '_d_newarrayi',
+ '_d_new',
+ '_d_monitorrelease',
+ '_d_monitor_prolog',
+ '_d_monitor_handler',
+ '_d_monitorexit',
+ '_d_monitor_epilog',
+ '_d_monitorenter',
+ '_d_local_unwind',
+ '_d_isbaseof2',
+ '_d_isbaseof',
+ '_d_invariant',
+ '_d_interface_vtbl',
+ '_d_interface_cast',
+ '_d_framehandler',
+ '_d_exception_filter',
+ '_d_exception',
+ '_d_dynamic_cast',
+ '_d_delmemory',
+ '_d_delinterface',
+ '_d_delclass',
+ '_d_delarray',
+ '_d_criticalexit',
+ '_d_criticalenter',
+ '_d_create_exception_object',
+ '_d_callfinalizer',
+ '_d_arraysetlengthb',
+ '_d_arraysetlength',
+ '_d_arraysetbit2',
+ '_d_arraysetbit',
+ '_d_arraycopybit',
+ '_d_arraycopy',
+ '_d_arraycatn',
+ '_d_arraycatb',
+ '_d_arraycat',
+ '_d_arraycast_frombit',
+ '_d_arraycast',
+ '_d_arrayappendcb',
+ '_d_arrayappendc',
+ '_d_arrayappendb',
+ '_d_arrayappend',
+ ),
+ 4 => array(
+ 'wchar',
+ 'volatile',
+ 'void',
+ 'version',
+ 'ushort',
+ 'unittest',
+ 'ulong',
+ 'uint',
+ 'ucent',
+ 'ubyte',
+ 'template',
+ 'struct',
+ 'static',
+ 'synchronized',
+ 'size_t',
+ 'short',
+ 'real',
+ 'public',
+ 'protected',
+ 'private',
+ 'ptrdiff_t',
+ 'package',
+ 'override',
+ 'long',
+ 'int',
+ 'ireal',
+ 'ifloat',
+ 'idouble',
+ 'float',
+ 'final',
+ 'export',
+ 'enum',
+ 'double',
+ 'deprecated',
+ 'debug',
+ 'dchar',
+ 'creal',
+ 'const',
+ 'class',
+ 'char',
+ 'cfloat',
+ 'cent',
+ 'cdouble',
+ 'byte',
+ 'bool',
+ 'bit',
+ 'auto',
+ 'align',
+ 'abstract'
+ )
+ ),
+ 'SYMBOLS' => array(
+ '(', ')', '[', ']', '{', '}', '?', '!', ';', ':', ',', '...', '..',
+ '+', '-', '*', '/', '%', '&', '|', '^', '<', '>', '=', '~',
+ ),
+ 'CASE_SENSITIVE' => array(
+ GESHI_COMMENTS => true,
+ 1 => true,
+ 2 => true,
+ 3 => true,
+ 4 => true
+ ),
+ 'STYLES' => array(
+ 'KEYWORDS' => array(
+ 1 => 'color: #b1b100;',
+ 2 => 'color: #000000; font-weight: bold;',
+ 3 => 'color: #aaaadd; font-weight: bold;',
+ 4 => 'color: #993333;'
+ ),
+ 'COMMENTS' => array(
+ 1=> 'color: #808080; font-style: italic;',
+ 2=> 'color: #a1a100;',
+ 'MULTI' => 'color: #808080; font-style: italic;'
+ ),
+ 'ESCAPE_CHAR' => array(
+ 0 => 'color: #000099; font-weight: bold;'
+ ),
+ 'BRACKETS' => array(
+ 0 => 'color: #66cc66;'
+ ),
+ 'STRINGS' => array(
+ 0 => 'color: #ff0000;'
+ ),
+ 'NUMBERS' => array(
+ 0 => 'color: #cc66cc;'
+ ),
+ 'METHODS' => array(
+ 1 => 'color: #006600;',
+ 2 => 'color: #006600;'
+ ),
+ 'SYMBOLS' => array(
+ 0 => 'color: #66cc66;'
+ ),
+ 'SCRIPT' => array(
+ ),
+ 'REGEXPS' => array(
+ )
+ ),
+ 'URLS' => array(
+ 1 => '',
+ 2 => '',
+ 3 => '',
+ 4 => ''
+ ),
+ 'OOLANG' => true,
+ 'OBJECT_SPLITTERS' => array(
+ 1 => '.',
+ ),
+ 'REGEXPS' => array(
+ ),
+ 'STRICT_MODE_APPLIES' => GESHI_NEVER,
+ 'SCRIPT_DELIMITERS' => array(
+ ),
+ 'HIGHLIGHT_STRICT_BLOCK' => array(
+ )
+);
+
+?>
diff --git a/inc/geshi/delphi.php b/inc/geshi/delphi.php
index 89a6385cf..13b7deb4c 100644
--- a/inc/geshi/delphi.php
+++ b/inc/geshi/delphi.php
@@ -7,7 +7,7 @@
* Release Version: 1.0.6
* CVS Revision Version: $Revision: 1.1 $
* Date Started: 2004/07/26
- * Last Modified: $Date: 2005/01/29 01:48:39 $
+ * Last Modified: $Date: 2005/06/02 04:57:18 $
*
* Delphi (Object Pascal) language file for GeSHi.
*
diff --git a/inc/geshi/diff.php b/inc/geshi/diff.php
new file mode 100644
index 000000000..47ccab677
--- /dev/null
+++ b/inc/geshi/diff.php
@@ -0,0 +1,137 @@
+<?php
+/*************************************************************************************
+ * diff.php
+ * --------
+ * Author: Conny Brunnkvist (conny@fuchsia.se)
+ * Copyright: (c) 2004 Fuchsia Open Source Solutions (http://www.fuchsia.se/)
+ * Release Version: 1.0.0
+ * CVS Revision Version: $Revision: 1.1 $
+ * Date Started: 2004/12/29
+ * Last Modified: $Date: 2005/06/14 13:02:34 $
+ *
+ * Diff-output language file for GeSHi.
+ *
+ * CHANGES
+ * -------
+ * 2004/12/29 (1.0.0)
+ * - First Release
+ *
+ * TODO (updated 2004/12/29)
+ * -------------------------
+ * * Find out why GeSHi doesn't seem to allow matching of start (^) and end ($)
+ * * So that we can stop pretending that we are dealing with single-line comments
+ * * Should be able to cover all sorts of diff-output
+ *
+ *************************************************************************************
+ *
+ * This file is part of GeSHi.
+ *
+ * GeSHi is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 2 of the License, or
+ * (at your option) any later version.
+ *
+ * GeSHi is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
+ * GNU General Public License for more details.
+ *
+ * You should have received a copy of the GNU General Public License
+ * along with GeSHi; if not, write to the Free Software
+ * Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+ *
+ ************************************************************************************/
+
+
+$language_data = array (
+ 'LANG_NAME' => 'Diff',
+ 'COMMENT_SINGLE' => array(
+ 0 => '--- ',
+ 1 => '+++ ',
+ 2 => '<',
+ 3 => '>',
+ 4 => '-',
+ 5 => '+',
+ 6 => '!',
+ 7 => '@@',
+ 8 => '*** ',
+ /*9 => '***************',*/
+ /*10 => ' ', // All other rows starts with a space (bug?) */
+ ),
+ 'COMMENT_MULTI' => array(),
+ 'CASE_KEYWORDS' => GESHI_CAPS_NO_CHANGE,
+ 'QUOTEMARKS' => array(),
+ 'ESCAPE_CHAR' => ' ',
+ 'KEYWORDS' => array(
+ 0 => array(
+ '\ No newline at end of file',
+ ),
+ 1 => array(
+ '***************' /* This only seems to works in some cases? */
+ ),
+ ),
+ 'SYMBOLS' => array(
+ ),
+ 'CASE_SENSITIVE' => array(
+ GESHI_COMMENTS => false,
+ ),
+ 'STYLES' => array(
+ 'KEYWORDS' => array(
+ 0 => 'color: #aaaaaa; font-style: italic;',
+ 1 => 'color: #dd6611;',
+ ),
+ 'COMMENTS' => array(
+ 0 => 'color: #228822;',
+ 1 => 'color: #228822;',
+ 2 => 'color: #991111;',
+ 3 => 'color: #00aaee;',
+ 4 => 'color: #991111;',
+ 5 => 'color: #00b000;',
+ /*6 => 'color: #dd6611;', */
+ 6 => 'color: #0011dd;',
+ 7 => 'color: #aaaa88;',
+ 8 => 'color: #228822;',
+ /*9 => 'color: #aaaa88;',*/
+ /*10 => 'color: #000000;',*/
+ ),
+ 'ESCAPE_CHAR' => array(
+ ),
+ 'BRACKETS' => array(
+ ),
+ 'STRINGS' => array(
+ ),
+ 'NUMBERS' => array(
+ ),
+ 'METHODS' => array(
+ ),
+ 'SYMBOLS' => array(
+ ),
+ 'SCRIPT' => array(
+ ),
+ 'REGEXPS' => array(
+ 0 => 'color: #aaaaaa;',
+ /*1 => 'color: #000000;',*/
+ ),
+ ),
+ 'URLS' => array(
+ ),
+ 'OOLANG' => false,
+ 'OBJECT_SPLITTER' => '',
+ 'REGEXPS' => array(
+ 0 => "[0-9,]+[acd][0-9,]+",
+ /*1 => array( // Match all other lines - again this also doesn't work.
+ GESHI_SEARCH => '(\ )(.+)',
+ GESHI_REPLACE => '\\2\\3',
+ GESHI_MODIFIERS => '',
+ GESHI_BEFORE => '\\1',
+ GESHI_AFTER => ''
+ ),*/
+ ),
+ 'STRICT_MODE_APPLIES' => GESHI_NEVER,
+ 'SCRIPT_DELIMITERS' => array(
+ ),
+ 'HIGHLIGHT_STRICT_BLOCK' => array(
+ )
+);
+
+?>
diff --git a/inc/geshi/html4strict.php b/inc/geshi/html4strict.php
index 7e9bf1416..6f483afaf 100644
--- a/inc/geshi/html4strict.php
+++ b/inc/geshi/html4strict.php
@@ -7,7 +7,7 @@
* Release Version: 1.0.6
* CVS Revision Version: $Revision: 1.1 $
* Date Started: 2004/07/10
- * Last Modified: $Date: 2005/01/29 01:48:39 $
+ * Last Modified: $Date: 2005/06/02 04:57:18 $
*
* HTML 4.01 strict language file for GeSHi.
*
diff --git a/inc/geshi/java.php b/inc/geshi/java.php
index 7a8ea2f92..860ce32f8 100644
--- a/inc/geshi/java.php
+++ b/inc/geshi/java.php
@@ -5,9 +5,9 @@
* Author: Nigel McNie (oracle.shinoda@gmail.com)
* Copyright: (c) 2004 Nigel McNie (http://qbnz.com/highlighter/)
* Release Version: 1.0.6
- * CVS Revision Version: $Revision: 1.1 $
+ * CVS Revision Version: $Revision: 1.2 $
* Date Started: 2004/07/10
- * Last Modified: $Date: 2005/01/29 01:48:39 $
+ * Last Modified: $Date: 2005/06/13 22:28:25 $
*
* Java language file for GeSHi.
*
@@ -65,7 +65,8 @@ $language_data = array (
'null', 'return', 'false', 'final', 'true', 'public',
'private', 'protected', 'extends', 'break', 'class',
'new', 'try', 'catch', 'throws', 'finally', 'implements',
- 'interface', 'throw', 'native', 'synchronized', 'this'
+ 'interface', 'throw', 'native', 'synchronized', 'this',
+ 'abstract', 'transient'
),
3 => array(
'AbstractAction', 'AbstractBorder', 'AbstractButton', 'AbstractCellEditor',
@@ -248,27 +249,11 @@ $language_data = array (
'FocusEvent', 'FocusListener', 'FocusManager', 'Font', 'FontFormatException',
'FontMetrics', 'FontRenderContext', 'FontUIResource', 'Format', 'FormatConversionProvider',
'FormView', 'Frame', 'FREE_MEM', 'GapContent', 'GeneralPath', 'GeneralSecurityException',
- 'GlyphJustificationInfo', 'GlyphMetrics', 'GlyphVector',
- 'GlyphView',
- 'GlyphView.GlyphPainter',
- 'GradientPaint',
- 'GraphicAttribute',
- 'Graphics',
- 'Graphics2D',
- 'GraphicsConfigTemplate',
- 'GraphicsConfiguration',
- 'GraphicsDevice',
- 'GraphicsEnvironment',
- 'GrayFilter',
- 'GregorianCalendar',
- 'GridBagConstraints',
- 'GridBagLayout',
- 'GridLayout',
- 'Group',
- 'Guard',
- 'GuardedObject',
- 'GZIPInputStream',
- 'GZIPOutputStream',
+ 'GlyphJustificationInfo', 'GlyphMetrics', 'GlyphVector', 'GlyphView', 'GlyphView.GlyphPainter',
+ 'GradientPaint', 'GraphicAttribute', 'Graphics', 'Graphics2D', 'GraphicsConfigTemplate',
+ 'GraphicsConfiguration', 'GraphicsDevice', 'GraphicsEnvironment', 'GrayFilter',
+ 'GregorianCalendar', 'GridBagConstraints', 'GridBagLayout', 'GridLayout', 'Group', 'Guard',
+ 'GuardedObject', 'GZIPInputStream', 'GZIPOutputStream',
'HasControls',
'HashMap',
'HashSet',
@@ -1330,7 +1315,7 @@ $language_data = array (
'_Remote_Stub '
),
4 => array(
- 'static', 'void', 'double', 'int', 'real', 'boolean', 'byte'
+ 'static', 'void', 'double', 'int', 'real', 'boolean', 'byte', 'short', 'long', 'single'
)
),
'SYMBOLS' => array(
@@ -1382,7 +1367,7 @@ $language_data = array (
'URLS' => array(
1 => '',
2 => '',
- 3 => 'http://www.google.com/search?q=allinurl%3A{FNAME}+java.sun.com&amp;bntl=1',
+ 3 => 'http://www.google.com/search?q=allinurl%3A{FNAME}+java.sun.com&bntl=1',
4 => ''
),
'OOLANG' => true,
@@ -1399,4 +1384,4 @@ $language_data = array (
)
);
-?>
+?> \ No newline at end of file
diff --git a/inc/geshi/javascript.php b/inc/geshi/javascript.php
index 8744229b1..c321dfa38 100644
--- a/inc/geshi/javascript.php
+++ b/inc/geshi/javascript.php
@@ -7,7 +7,7 @@
* Release Version: 1.0.6
* CVS Revision Version: $Revision: 1.1 $
* Date Started: 2004/06/20
- * Last Modified: $Date: 2005/01/29 01:48:39 $
+ * Last Modified: $Date: 2005/06/02 04:57:18 $
*
* JavaScript language file for GeSHi.
*
diff --git a/inc/geshi/lisp.php b/inc/geshi/lisp.php
index 66b65ae3d..b59e49350 100644
--- a/inc/geshi/lisp.php
+++ b/inc/geshi/lisp.php
@@ -7,7 +7,7 @@
* Release Version: 1.0.6
* CVS Revision Version: $Revision: 1.1 $
* Date Started: 2004/08/30
- * Last Modified: $Date: 2005/01/29 01:48:39 $
+ * Last Modified: $Date: 2005/06/02 04:57:18 $
*
* Generic Lisp language file for GeSHi.
*
diff --git a/inc/geshi/lua.php b/inc/geshi/lua.php
index 57e61aba7..28fecbfff 100644
--- a/inc/geshi/lua.php
+++ b/inc/geshi/lua.php
@@ -7,7 +7,7 @@
* Release Version: 1.0.6
* CVS Revision Version: $Revision: 1.1 $
* Date Started: 2004/07/10
- * Last Modified: $Date: 2005/01/29 01:48:39 $
+ * Last Modified: $Date: 2005/06/02 04:57:18 $
*
* LUA language file for GeSHi.
*
diff --git a/inc/geshi/matlab.php b/inc/geshi/matlab.php
new file mode 100644
index 000000000..e27807c84
--- /dev/null
+++ b/inc/geshi/matlab.php
@@ -0,0 +1,109 @@
+<?php
+/*************************************************************************************
+ * matlab.php
+ * -----------
+ * Author: Florian Knorn (floz@gmx.de)
+ * Copyright: (c) 2004 Florian Knorn (http://www.florian-knorn.com)
+ * Release Version: 1.0.0
+ * CVS Revision Version: $Revision: 1.1 $
+ * Date Started: 2005/02/09
+ * Last Modified: $Date: 2005/06/15 12:06:28 $
+ *
+ * Matlab M-file language file for GeSHi.
+ *
+ * CHANGES
+ * -------
+ * 2005/05/07 (1.0.0)
+ * - First Release
+ *
+ *
+ *************************************************************************************
+ *
+ * This file is part of GeSHi.
+ *
+ * GeSHi is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 2 of the License, or
+ * (at your option) any later version.
+ *
+ * GeSHi is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
+ * GNU General Public License for more details.
+ *
+ * You should have received a copy of the GNU General Public License
+ * along with GeSHi; if not, write to the Free Software
+ * Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+ *
+ ************************************************************************************/
+
+$language_data = array (
+ 'LANG_NAME' => 'M',
+ 'COMMENT_SINGLE' => array(1 => '%'),
+ 'COMMENT_MULTI' => array(),
+ 'CASE_KEYWORDS' => GESHI_CAPS_NO_CHANGE,
+ 'QUOTEMARKS' => array("'"),
+ 'ESCAPE_CHAR' => '',
+ 'KEYWORDS' => array(
+ 1 => array(
+ 'break', 'case', 'catch', 'continue', 'elseif', 'else', 'end', 'for',
+ 'function', 'global', 'if', 'otherwise', 'persistent', 'return',
+ 'switch', 'try', 'while','...'
+ ),
+ ),
+ 'SYMBOLS' => array(
+ '...'
+ ),
+ 'CASE_SENSITIVE' => array(
+ GESHI_COMMENTS => true,
+ 1 => false,
+ 2 => false,
+ 3 => false,
+ 4 => false,
+ ),
+ 'STYLES' => array(
+ 'KEYWORDS' => array(
+ 1 => 'color: #0000FF;',
+ ),
+ 'COMMENTS' => array(
+ 1 => 'color: #228B22;',
+ ),
+ 'ESCAPE_CHAR' => array(
+ ),
+ 'BRACKETS' => array(
+ ),
+ 'STRINGS' => array(
+ 0 => 'color: #A020F0;'
+ ),
+ 'NUMBERS' => array(
+ ),
+ 'METHODS' => array(
+ ),
+ 'SYMBOLS' => array(
+ ),
+ 'REGEXPS' => array(
+ ),
+ 'SCRIPT' => array(
+ )
+ ),
+ 'URLS' => array(
+ 1 => '',
+ 2 => '',
+ 3 => '',
+ 4 => ''
+ ),
+ 'OOLANG' => true,
+ 'OBJECT_SPLITTERS' => array(
+ 1 => '.',
+ 2 => '::'
+ ),
+ 'REGEXPS' => array(
+ ),
+ 'STRICT_MODE_APPLIES' => GESHI_NEVER,
+ 'SCRIPT_DELIMITERS' => array(
+ ),
+ 'HIGHLIGHT_STRICT_BLOCK' => array(
+ )
+);
+
+?>
diff --git a/inc/geshi/mpasm.php b/inc/geshi/mpasm.php
index 26732d9ae..2075cea78 100644
--- a/inc/geshi/mpasm.php
+++ b/inc/geshi/mpasm.php
@@ -7,7 +7,7 @@
* Release Version: 1.0.6
* CVS Revision Version: $Revision: 1.1 $
* Date Started: 2004/12/6
- * Last Modified: $Date: 2005/01/29 02:12:58 $
+ * Last Modified: $Date: 2005/06/02 04:57:18 $
*
* Microchip Assembler language file for GeSHi.
*
diff --git a/inc/geshi/nsis.php b/inc/geshi/nsis.php
index 9e35dd1d7..bddb4979b 100644
--- a/inc/geshi/nsis.php
+++ b/inc/geshi/nsis.php
@@ -7,7 +7,7 @@
* Release Version: 1.0.6
* CVS Revision Version: $Revision: 1.1 $
* Date Started: 2004/29/07
- * Last Modified: $Date: 2005/01/29 01:48:39 $
+ * Last Modified: $Date: 2005/06/02 04:57:18 $
*
* NullSoft Installer System language file for GeSHi.
* Words are from SciTe configuration file
diff --git a/inc/geshi/objc.php b/inc/geshi/objc.php
index c39683968..015fd2e24 100644
--- a/inc/geshi/objc.php
+++ b/inc/geshi/objc.php
@@ -7,7 +7,7 @@
* Release Version: 1.0.6
* CVS Revision Version: $Revision: 1.1 $
* Date Started: 2004/06/04
- * Last Modified: $Date: 2005/01/29 01:48:39 $
+ * Last Modified: $Date: 2005/06/02 04:57:18 $
*
* Objective C language file for GeSHi.
*
diff --git a/inc/geshi/oobas.php b/inc/geshi/oobas.php
index 4652197ed..3ba4e34d4 100644
--- a/inc/geshi/oobas.php
+++ b/inc/geshi/oobas.php
@@ -7,7 +7,7 @@
* Release Version: 1.0.6
* CVS Revision Version: $Revision: 1.1 $
* Date Started: 2004/08/30
- * Last Modified: $Date: 2005/01/29 01:48:39 $
+ * Last Modified: $Date: 2005/06/02 04:57:18 $
*
* OpenOffice.org Basic language file for GeSHi.
*
diff --git a/inc/geshi/oracle8.php b/inc/geshi/oracle8.php
index f3c8c9c8a..9797722b0 100644
--- a/inc/geshi/oracle8.php
+++ b/inc/geshi/oracle8.php
@@ -5,9 +5,9 @@
* Author: Guy Wicks (Guy.Wicks@rbs.co.uk)
* Copyright: (c) 2004 Nigel McNie (http://qbnz.com/highlighter)
* Release Version: 1.0.6
- * CVS Revision Version: $Revision: 1.2 $
+ * CVS Revision Version: $Revision: 1.1 $
* Date Started: 2004/06/04
- * Last Modified: $Date: 2005/01/29 02:06:01 $
+ * Last Modified: $Date: 2005/06/02 04:57:18 $
*
* Oracle 8 language file for GeSHi
*
diff --git a/inc/geshi/pascal.php b/inc/geshi/pascal.php
index b36cad7f1..8d4c9701c 100644
--- a/inc/geshi/pascal.php
+++ b/inc/geshi/pascal.php
@@ -7,7 +7,7 @@
* Release Version: 1.0.6
* CVS Revision Version: $Revision: 1.1 $
* Date Started: 2004/07/26
- * Last Modified: $Date: 2005/01/29 01:48:39 $
+ * Last Modified: $Date: 2005/06/02 04:57:18 $
*
* Pascal language file for GeSHi.
*
diff --git a/inc/geshi/perl.php b/inc/geshi/perl.php
index cfc0fee53..b2e5b2117 100644
--- a/inc/geshi/perl.php
+++ b/inc/geshi/perl.php
@@ -7,7 +7,7 @@
* Release Version: 1.0.6
* CVS Revision Version: $Revision: 1.1 $
* Date Started: 2004/08/20
- * Last Modified: $Date: 2005/01/29 01:48:39 $
+ * Last Modified: $Date: 2005/06/02 04:57:18 $
*
* Perl language file for GeSHi.
*
diff --git a/inc/geshi/php-brief.php b/inc/geshi/php-brief.php
index f1d6bddfb..85fd72765 100644
--- a/inc/geshi/php-brief.php
+++ b/inc/geshi/php-brief.php
@@ -7,7 +7,7 @@
* Release Version: 1.0.6
* CVS Revision Version: $Revision: 1.1 $
* Date Started: 2004/06/02
- * Last Modified: $Date: 2005/01/29 01:48:39 $
+ * Last Modified: $Date: 2005/06/02 04:57:18 $
*
* PHP language file for GeSHi (brief version).
*
diff --git a/inc/geshi/php.php b/inc/geshi/php.php
index 734e770a7..3f6b60e7f 100644
--- a/inc/geshi/php.php
+++ b/inc/geshi/php.php
@@ -5,9 +5,9 @@
* Author: Nigel McNie (oracle.shinoda@gmail.com)
* Copyright: (c) 2004 Nigel McNie (http://qbnz.com/highlighter/)
* Release Version: 1.0.6
- * CVS Revision Version: $Revision: 1.1 $
+ * CVS Revision Version: $Revision: 1.2 $
* Date Started: 2004/06/20
- * Last Modified: $Date: 2005/01/29 01:48:39 $
+ * Last Modified: $Date: 2005/06/13 22:28:47 $
*
* PHP language file for GeSHi.
*
@@ -60,8 +60,9 @@ $language_data = array (
'KEYWORDS' => array(
1 => array(
'include', 'require', 'include_once', 'require_once',
- 'for', 'foreach', 'as', 'if', 'elseif', 'else', 'while', 'do', 'endwhile', 'endif', 'switch', 'case', 'endswitch',
- 'return', 'break'
+ 'for', 'foreach', 'as', 'if', 'elseif', 'else', 'while', 'do', 'endwhile',
+ 'endif', 'switch', 'case', 'endswitch',
+ 'return', 'break', 'continue'
),
2 => array(
'null', '__LINE__', '__FILE__',
@@ -254,7 +255,8 @@ $language_data = array (
'apache_setenv','apache_response_headers','apache_request_headers','apache_note','apache_lookup_uri','apache_get_version',
'apache_child_terminate','aggregation_info','aggregate_properties_by_regexp','aggregate_properties_by_list','aggregate_properties','aggregate_methods_by_regexp',
'aggregate_methods_by_list','aggregate_methods','aggregate','addslashes','addcslashes','acosh',
- 'acos','abs','_','echo', 'print', 'global', 'static', 'exit', 'array', 'empty', 'eval', 'isset', 'unset', 'die'
+ 'acos','abs','_','echo', 'print', 'global', 'static', 'exit', 'array', 'empty',
+ 'eval', 'isset', 'unset', 'die', 'list'
)
),
'SYMBOLS' => array(
diff --git a/inc/geshi/python.php b/inc/geshi/python.php
index ca1b231eb..2434ca40e 100644
--- a/inc/geshi/python.php
+++ b/inc/geshi/python.php
@@ -5,14 +5,16 @@
* Author: Roberto Rossi (rsoftware@altervista.org)
* Copyright: (c) 2004 Roberto Rossi (http://rsoftware.altervista.org), Nigel McNie (http://qbnz.com/highlighter)
* Release Version: 1.0.6
- * CVS Revision Version: $Revision: 1.1 $
+ * CVS Revision Version: $Revision: 1.2 $
* Date Started: 2004/08/30
- * Last Modified: $Date: 2005/01/29 01:48:39 $
+ * Last Modified: $Date: 2005/06/14 13:03:15 $
*
* Python language file for GeSHi.
*
* CHANGES
* -------
+ * 2005/05/26
+ * - Modifications by Tim (tim@skreak.com): added more keyword categories, tweaked colors
* 2004/11/27 (1.0.1)
* - Added support for multiple object splitters
* 2004/08/30 (1.0.0)
@@ -46,49 +48,127 @@ $language_data = array (
'COMMENT_SINGLE' => array(1 => '#'),
'COMMENT_MULTI' => array(),
'CASE_KEYWORDS' => GESHI_CAPS_NO_CHANGE,
- 'QUOTEMARKS' => array('"'),
- 'ESCAPE_CHAR' => '',
+ 'QUOTEMARKS' => array('"', "'", '"""'),
+ 'ESCAPE_CHAR' => '\\',
'KEYWORDS' => array(
+
+ /*
+ ** Set 1: reserved words
+ ** http://python.org/doc/current/ref/keywords.html
+ */
1 => array(
- 'and','assert','break','class','continue','def','del','elif','else','except','exec','finally','for','from',
- 'global','if','import','in','is','lambda','map','not','None','or','pass','print','raise','range','return',
- 'try','while','abs','apply','callable','chr','cmp','coerce','compile','complex','delattr','dir','divmod',
- 'eval','execfile','filter','float','getattr','globals','group','hasattr','hash','hex','',
- 'id','input','int','intern','isinstance','issubclass','joinfields','len','list','local','long',
- 'max','min','match','oct','open','ord','pow','raw_input','reduce','reload','repr','round',
- 'search','setattr','setdefault','slice','str','splitfields','unichr','unicode','tuple','type',
- 'vars','xrange','zip','__abs__','__add__','__and__','__call__','__cmp__','__coerce__',
- '__del__','__delattr__','__delitem__','__delslice__','__div__','__divmod__',
- '__float__','__getattr__','__getitem__','__getslice__','__hash__','__hex__',
- '__iadd__','__isub__','__imod__','__idiv__','__ipow__','__iand__','__ior__','__ixor__',
- '__ilshift__','__irshift__','__invert__','__int__','__init__','__len__','__long__','__lshift__',
- '__mod__','__mul__','__neg__','__nonzero__','__oct__','__or__','__pos__','__pow__',
+ 'and', 'del', 'for', 'is', 'raise', 'assert', 'elif', 'from', 'lambda', 'return', 'break',
+ 'else', 'global', 'not', 'try', 'class', 'except', 'if', 'or', 'while', 'continue', 'exec',
+ 'import', 'pass', 'yield', 'def', 'finally', 'in', 'print'
+ ),
+
+ /*
+ ** Set 2: builtins
+ ** http://python.org/doc/current/lib/built-in-funcs.html
+ */
+ 2 => array(
+ '__import__', 'abs', 'basestring', 'bool', 'callable', 'chr', 'classmethod', 'cmp',
+ 'compile', 'complex', 'delattr', 'dict', 'dir', 'divmod', 'enumerate', 'eval', 'execfile',
+ 'file', 'filter', 'float', 'frozenset', 'getattr', 'globals', 'hasattr', 'hash', 'help',
+ 'hex', 'id', 'input', 'int', 'isinstance', 'issubclass', 'iter', 'len', 'list', 'locals',
+ 'long', 'map', 'max', 'min', 'object', 'oct', 'open', 'ord', 'pow', 'property', 'range',
+ 'raw_input', 'reduce', 'reload', 'repr', 'reversed', 'round', 'set', 'setattr', 'slice',
+ 'sorted', 'staticmethod', 'str', 'sum', 'super', 'tuple', 'type', 'unichr', 'unicode',
+ 'vars', 'xrange', 'zip',
+ // Built-in constants: http://python.org/doc/current/lib/node35.html
+ 'False', 'True', 'None', 'NotImplemented', 'Ellipsis',
+ // Built-in Exceptions: http://python.org/doc/current/lib/module-exceptions.html
+ 'Exception', 'StandardError', 'ArithmeticError', 'LookupError', 'EnvironmentError',
+ 'AssertionError', 'AttributeError', 'EOFError', 'FloatingPointError', 'IOError',
+ 'ImportError', 'IndexError', 'KeyError', 'KeyboardInterrupt', 'MemoryError', 'NameError',
+ 'NotImplementedError', 'OSError', 'OverflowError', 'ReferenceError', 'RuntimeError',
+ 'StopIteration', 'SyntaxError', 'SystemError', 'SystemExit', 'TypeError',
+ 'UnboundlocalError', 'UnicodeError', 'UnicodeEncodeError', 'UnicodeDecodeError',
+ 'UnicodeTranslateError', 'ValueError', 'WindowsError', 'ZeroDivisionError', 'Warning',
+ 'UserWarning', 'DeprecationWarning', 'PendingDeprecationWarning', 'SyntaxWarning',
+ 'RuntimeWarning', 'FutureWarning',
+ // self: this is a common python convention (but not a reserved word)
+ 'self'
+ ),
+
+ /*
+ ** Set 3: standard library
+ ** http://python.org/doc/current/lib/modindex.html
+ */
+ 3 => array(
+ '__builtin__', '__future__', '__main__', '_winreg', 'aifc', 'AL', 'al', 'anydbm',
+ 'array', 'asynchat', 'asyncore', 'atexit', 'audioop', 'base64', 'BaseHTTPServer',
+ 'Bastion', 'binascii', 'binhex', 'bisect', 'bsddb', 'bz2', 'calendar', 'cd', 'cgi',
+ 'CGIHTTPServer', 'cgitb', 'chunk', 'cmath', 'cmd', 'code', 'codecs', 'codeop',
+ 'collections', 'colorsys', 'commands', 'compileall', 'compiler', 'compiler',
+ 'ConfigParser', 'Cookie', 'cookielib', 'copy', 'copy_reg', 'cPickle', 'crypt',
+ 'cStringIO', 'csv', 'curses', 'datetime', 'dbhash', 'dbm', 'decimal', 'DEVICE',
+ 'difflib', 'dircache', 'dis', 'distutils', 'dl', 'doctest', 'DocXMLRPCServer', 'dumbdbm',
+ 'dummy_thread', 'dummy_threading', 'email', 'encodings', 'errno', 'exceptions', 'fcntl',
+ 'filecmp', 'fileinput', 'FL', 'fl', 'flp', 'fm', 'fnmatch', 'formatter', 'fpectl',
+ 'fpformat', 'ftplib', 'gc', 'gdbm', 'getopt', 'getpass', 'gettext', 'GL', 'gl', 'glob',
+ 'gopherlib', 'grp', 'gzip', 'heapq', 'hmac', 'hotshot', 'htmlentitydefs', 'htmllib',
+ 'HTMLParser', 'httplib', 'imageop', 'imaplib', 'imgfile', 'imghdr', 'imp', 'inspect',
+ 'itertools', 'jpeg', 'keyword', 'linecache', 'locale', 'logging', 'mailbox', 'mailcap',
+ 'marshal', 'math', 'md5', 'mhlib', 'mimetools', 'mimetypes', 'MimeWriter', 'mimify',
+ 'mmap', 'msvcrt', 'multifile', 'mutex', 'netrc', 'new', 'nis', 'nntplib', 'operator',
+ 'optparse', 'os', 'ossaudiodev', 'parser', 'pdb', 'pickle', 'pickletools', 'pipes',
+ 'pkgutil', 'platform', 'popen2', 'poplib', 'posix', 'posixfile', 'pprint', 'profile',
+ 'pstats', 'pty', 'pwd', 'py_compile', 'pyclbr', 'pydoc', 'Queue', 'quopri', 'random',
+ 're', 'readline', 'repr', 'resource', 'rexec', 'rfc822', 'rgbimg', 'rlcompleter',
+ 'robotparser', 'sched', 'ScrolledText', 'select', 'sets', 'sgmllib', 'sha', 'shelve',
+ 'shlex', 'shutil', 'signal', 'SimpleHTTPServer', 'SimpleXMLRPCServer', 'site', 'smtpd',
+ 'smtplib', 'sndhdr', 'socket', 'SocketServer', 'stat', 'statcache', 'statvfs', 'string',
+ 'StringIO', 'stringprep', 'struct', 'subprocess', 'sunau', 'SUNAUDIODEV', 'sunaudiodev',
+ 'symbol', 'sys', 'syslog', 'tabnanny', 'tarfile', 'telnetlib', 'tempfile', 'termios',
+ 'test', 'textwrap', 'thread', 'threading', 'time', 'timeit', 'Tix', 'Tkinter', 'token',
+ 'tokenize', 'traceback', 'tty', 'turtle', 'types', 'unicodedata', 'unittest', 'urllib2',
+ 'urllib', 'urlparse', 'user', 'UserDict', 'UserList', 'UserString', 'uu', 'warnings',
+ 'wave', 'weakref', 'webbrowser', 'whichdb', 'whrandom', 'winsound', 'xdrlib', 'xml',
+ 'xmllib', 'xmlrpclib', 'zipfile', 'zipimport', 'zlib'
+ ),
+
+ /*
+ ** Set 4: special methods
+ ** http://python.org/doc/current/ref/specialnames.html
+ */
+ 4 => array(
+ /*
+ // Iterator types: http://python.org/doc/current/lib/typeiter.html
+ '__iter__', 'next',
+ // String types: http://python.org/doc/current/lib/string-methods.html
+ 'capitalize', 'center', 'count', 'decode', 'encode', 'endswith', 'expandtabs',
+ 'find', 'index', 'isalnum', 'isaplpha', 'isdigit', 'islower', 'isspace', 'istitle',
+ 'isupper', 'join', 'ljust', 'lower', 'lstrip', 'replace', 'rfind', 'rindex', 'rjust',
+ 'rsplit', 'rstrip', 'split', 'splitlines', 'startswith', 'strip', 'swapcase', 'title',
+ 'translate', 'upper', 'zfill',
+ */
+ // Basic customization: http://python.org/doc/current/ref/customization.html
+ '__new__', '__init__', '__del__', '__repr__', '__str__',
+ '__lt__', '__le__', '__eq__', '__ne__', '__gt__', '__ge__', '__cmp__', '__rcmp__',
+ '__hash__', '__nonzero__', '__unicode__', '__dict__',
+ // Attribute access: http://python.org/doc/current/ref/attribute-access.html
+ '__setattr__', '__delattr__', '__getattr__', '__getattribute__', '__get__', '__set__',
+ '__delete__', '__slots__',
+ // Class creation, callable objects
+ '__metaclass__', '__call__',
+ // Container types: http://python.org/doc/current/ref/sequence-types.html
+ '__len__', '__getitem__', '__setitem__', '__delitem__', '__iter__', '__contains__',
+ '__getslice__', '__setslice__', '__delslice__',
+ // Numeric types: http://python.org/doc/current/ref/numeric-types.html
+ '__abs__','__add__','__and__','__coerce__','__div__','__divmod__','__float__',
+ '__hex__','__iadd__','__isub__','__imod__','__idiv__','__ipow__','__iand__',
+ '__ior__','__ixor__', '__ilshift__','__irshift__','__invert__','__int__',
+ '__long__','__lshift__',
+ '__mod__','__mul__','__neg__','__oct__','__or__','__pos__','__pow__',
'__radd__','__rdiv__','__rdivmod__','__rmod__','__rpow__','__rlshift__','__rrshift__',
'__rshift__','__rsub__','__rmul__','__repr__','__rand__','__rxor__','__ror__',
- '__setattr__','__setitem__','__setslice__','__str__','__sub__','__xor__',
- '__bases__','__class__','__dict__','__methods__','__members__','__name__',
- '__version__','ArithmeticError','AssertionError','AttributeError','EOFError','Exception',
- 'FloatingPointError','IOError','ImportError','IndentationError','IndexError',
- 'KeyError','KeyboardInterrupt','LookupError','MemoryError','NameError','OverflowError',
- 'RuntimeError','StandardError','SyntaxError','SystemError','SystemExit','TabError','TypeError',
- 'ValueError','ZeroDivisionError','AST','','atexit','BaseHTTPServer','Bastion',
- 'cmd','codecs','commands','compileall','copy','CGIHTTPServer','Complex','dbhash',
- 'dircmp','dis','dospath','dumbdbm','emacs','find','fmt','fnmatch','ftplib',
- 'getopt','glob','gopherlib','grep','htmllib','httplib','ihooks','imghdr','imputil',
- 'linecache','lockfile','macpath','macurl2path','mailbox','mailcap',
- 'mimetools','mimify','mutex','math','Mimewriter','newdir','ni','nntplib','ntpath','nturl2path',
- 'os','ospath','pdb','pickle','pipes','poly','popen2','posixfile','posixpath','profile','pstats','pyclbr',
- 'pyexpat','Para','quopri','Queue','rand','random','regex','regsub','rfc822',
- 'sched','sgmllib','shelve','site','sndhdr','string','sys','snmp',
- 'SimpleHTTPServer','StringIO','SocketServer',
- 'tb','tempfile','toaiff','token','tokenize','traceback','tty','types','tzparse',
- 'Tkinter','unicodedata','urllib','urlparse','util','uu','UserDict','UserList',
- 'wave','webbrowser','whatsound','whichdb','whrandom','xdrlib','xml','xmlpackage',
- 'zmod','array','struct','self',
+ '__sub__','__xor__'
)
+
),
'SYMBOLS' => array(
- '(', ')', '[', ']', '{', '}', '*', '&', '%', '!', ';', '<', '>', '?'
+ '(', ')', '[', ']', '{', '}', '*', '&', '%', '!', ';', '<', '>', '?', '`'
),
'CASE_SENSITIVE' => array(
GESHI_COMMENTS => true,
@@ -96,7 +176,10 @@ $language_data = array (
),
'STYLES' => array(
'KEYWORDS' => array(
- 1 => 'color: #b1b100;'
+ 1 => 'color: #ff7700;font-weight:bold;', // Reserved
+ 2 => 'color: #008000;', // Built-ins + self
+ 3 => 'color: #dc143c;', // Standard lib
+ 4 => 'color: #0000cd;' // Special methods
),
'COMMENTS' => array(
1 => 'color: #808080; font-style: italic;',
@@ -106,16 +189,16 @@ $language_data = array (
0 => 'color: #000099; font-weight: bold;'
),
'BRACKETS' => array(
- 0 => 'color: #66cc66;'
+ 0 => 'color: black;'
),
'STRINGS' => array(
- 0 => 'color: #ff0000;'
+ 0 => 'color: #483d8b;'
),
'NUMBERS' => array(
- 0 => 'color: #cc66cc;'
+ 0 => 'color: #ff4500;'
),
'METHODS' => array(
- 1 => 'color: #202020;'
+ 1 => 'color: black;'
),
'SYMBOLS' => array(
0 => 'color: #66cc66;'
@@ -140,4 +223,4 @@ $language_data = array (
)
);
-?> \ No newline at end of file
+?>
diff --git a/inc/geshi/qbasic.php b/inc/geshi/qbasic.php
index 3eafea681..72c179b53 100644
--- a/inc/geshi/qbasic.php
+++ b/inc/geshi/qbasic.php
@@ -7,7 +7,7 @@
* Release Version: 1.0.6
* CVS Revision Version: $Revision: 1.1 $
* Date Started: 2004/06/20
- * Last Modified: $Date: 2005/01/29 01:48:39 $
+ * Last Modified: $Date: 2005/06/02 04:57:18 $
*
* QBasic/QuickBASIC language file for GeSHi.
*
diff --git a/inc/geshi/smarty.php b/inc/geshi/smarty.php
index 6dae5f4d9..eb8514ad2 100644
--- a/inc/geshi/smarty.php
+++ b/inc/geshi/smarty.php
@@ -5,9 +5,9 @@
* Author: Alan Juden (alan@judenware.org)
* Copyright: (c) 2004 Alan Juden, Nigel McNie (http://qbnz.com/highlighter/)
* Release Version: 1.0.6
- * CVS Revision Version: $Revision: 1.1 $
+ * CVS Revision Version: $Revision: 1.2 $
* Date Started: 2004/07/10
- * Last Modified: $Date: 2005/01/29 01:48:39 $
+ * Last Modified: $Date: 2005/06/13 22:29:20 $
*
* Smarty template language file for GeSHi.
*
@@ -49,7 +49,7 @@ $language_data = array (
'KEYWORDS' => array(
1 => array(
'$smarty', 'now', 'const', 'capture', 'config', 'section', 'foreach', 'template', 'version', 'ldelim', 'rdelim',
- 'config_load', 'foreach', 'foreachelse', 'include', 'include_php', 'insert', 'if', 'elseif', 'else', 'php',
+ 'config_load', 'foreachelse', 'include', 'include_php', 'insert', 'if', 'elseif', 'else', 'php',
'sectionelse', 'clear_all_cache', 'clear_cache', 'is_cached', 'from', 'item'
),
2 => array(
@@ -164,4 +164,4 @@ $language_data = array (
)
);
-?> \ No newline at end of file
+?>
diff --git a/inc/geshi/sql.php b/inc/geshi/sql.php
index 9537df2c1..284ccdc31 100644
--- a/inc/geshi/sql.php
+++ b/inc/geshi/sql.php
@@ -5,9 +5,9 @@
* Author: Nigel McNie (oracle.shinoda@gmail.com)
* Copyright: (c) 2004 Nigel McNie (http://qbnz.com/highlighter)
* Release Version: 1.0.6
- * CVS Revision Version: $Revision: 1.1 $
+ * CVS Revision Version: $Revision: 1.2 $
* Date Started: 2004/06/04
- * Last Modified: $Date: 2005/01/29 01:48:39 $
+ * Last Modified: $Date: 2005/06/15 12:07:06 $
*
* SQL language file for GeSHi.
*
@@ -52,7 +52,7 @@
$language_data = array (
'LANG_NAME' => 'SQL',
'COMMENT_SINGLE' => array(1 =>'--', 2 => '#'),
- 'COMMENT_MULTI' => array(),
+ 'COMMENT_MULTI' => array('/*' => '*/'),
'CASE_KEYWORDS' => 1,
'QUOTEMARKS' => array("'", '"', '`'),
'ESCAPE_CHAR' => '\\',
diff --git a/inc/geshi/vb.php b/inc/geshi/vb.php
index da2a90eb0..c0be88130 100644
--- a/inc/geshi/vb.php
+++ b/inc/geshi/vb.php
@@ -7,7 +7,7 @@
* Release Version: 1.0.6
* CVS Revision Version: $Revision: 1.1 $
* Date Started: 2004/08/30
- * Last Modified: $Date: 2005/01/29 01:48:39 $
+ * Last Modified: $Date: 2005/06/02 04:57:18 $
*
* Visual Basic language file for GeSHi.
*
diff --git a/inc/geshi/vbnet.php b/inc/geshi/vbnet.php
index bc9a9f8bc..2fb77bc33 100644
--- a/inc/geshi/vbnet.php
+++ b/inc/geshi/vbnet.php
@@ -5,9 +5,9 @@
* Author: Alan Juden (alan@judenware.org)
* Copyright: (c) 2004 Alan Juden, Nigel McNie (http://qbnz.com/highlighter)
* Release Version: 1.0.6
- * CVS Revision Version: $Revision: 1.1 $
+ * CVS Revision Version: $Revision: 1.3 $
* Date Started: 2004/06/04
- * Last Modified: $Date: 2005/01/29 01:48:39 $
+ * Last Modified: $Date: 2005/06/15 12:07:32 $
*
* VB.NET language file for GeSHi.
*
@@ -152,7 +152,6 @@
),
'COMMENTS' => array(
1 => 'color: #008080; font-style: italic;',
- 2 => 'color: #008080;',
'MULTI' => 'color: #008080; font-style: italic;'
),
'ESCAPE_CHAR' => array(
@@ -197,4 +196,4 @@
)
);
-?> \ No newline at end of file
+?>
diff --git a/inc/geshi/vhdl.php b/inc/geshi/vhdl.php
new file mode 100644
index 000000000..bb6d7f0aa
--- /dev/null
+++ b/inc/geshi/vhdl.php
@@ -0,0 +1,140 @@
+<?php
+/*************************************************************************************
+ * vhdl.php
+ * --------
+ * Author: Alexander 'E-Razor' Krause (admin@erazor-zone.de)
+ * Copyright: (c) 2005 Alexander Krause
+ * Release Version: 1.0.0
+ * CVS Revision Version: $Revision: 1.1 $
+ * Date Started: 2005/06/15
+ * Last Modified: $Date: 2005/06/14 13:02:34 $
+ *
+ * VHDL (VHSICADL, very high speed integrated circuit HDL) language file for GeSHi.
+ *
+ * CHANGES
+ * -------
+ * 2006/06/15 (1.0.0)
+ * - First Release
+ *
+ * TODO
+ * ----
+ *
+ *************************************************************************************
+ *
+ * This file is part of GeSHi.
+ *
+ * GeSHi is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 2 of the License, or
+ * (at your option) any later version.
+ *
+ * GeSHi is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
+ * GNU General Public License for more details.
+ *
+ * You should have received a copy of the GNU General Public License
+ * along with GeSHi; if not, write to the Free Software
+ * Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
+ *
+ ************************************************************************************/
+
+$language_data = array (
+ 'LANG_NAME' => 'vhdl',
+ 'COMMENT_SINGLE' => array(1 => '--'),
+ 'COMMENT_MULTI' => array(),
+ 'CASE_KEYWORDS' => GESHI_CAPS_NO_CHANGE,
+ 'QUOTEMARKS' => array('"'),
+ 'ESCAPE_CHAR' => '',
+ 'KEYWORDS' => array(
+ /*keywords*/
+ 1 => array(
+ 'access','after','alias','all','assert','architecture','begin',
+ 'block','body','buffer','bus','case','component','configuration','constant',
+ 'disconnect','downto','else','elsif','end','entity','exit','file','for',
+ 'function','generate','generic','group','guarded','if','impure','in',
+ 'inertial','inout','is','label','library','linkage','literal','loop',
+ 'map','new','next','null','of','on','open','others','out','package',
+ 'port','postponed','procedure','process','pure','range','record','register',
+ 'reject','report','return','select','severity','signal','shared','subtype',
+ 'then','to','transport','type','unaffected','units','until','use','variable',
+ 'wait','when','while','with','note','warning','error','failure','and',
+ 'or','xor','not','nor'
+ ),
+ /*types*/
+ 2 => array(
+ 'bit','bit_vector','character','boolean','integer','real','time','string',
+ 'severity_level','positive','natural','signed','unsigned','line','text',
+ 'std_logic','std_logic_vector','std_ulogic','std_ulogic_vector','qsim_state',
+ 'qsim_state_vector','qsim_12state','qsim_12state_vector','qsim_strength',
+ 'mux_bit','mux_vector','reg_bit','reg_vector','wor_bit','wor_vector'
+ ),
+ /*operators*/
+ 3 => array(
+ '=','<=',':=','=>','=='
+ )
+ ),
+ 'SYMBOLS' => array(
+ '[', ']', '(', ')',';','<','>',':'
+ ),
+ 'CASE_SENSITIVE' => array(
+ GESHI_COMMENTS => true,
+ 1 => false,
+ 2 => false
+ ),
+ 'STYLES' => array(
+ 'KEYWORDS' => array(
+ 1 => 'color: #000000; font-weight: bold;',
+ 2 => 'color: #aa0000;'
+ ),
+ 'COMMENTS' => array(
+ 1 => 'color: #adadad; font-style: italic;'
+ ),
+ 'ESCAPE_CHAR' => array(
+ 0 => 'color: #000099; font-weight: bold;'
+ ),
+ 'BRACKETS' => array(
+ 0 => 'color: #66cc66;'
+ ),
+ 'STRINGS' => array(
+ 0 => 'color: #7f007f;'
+ ),
+ 'NUMBERS' => array(
+ 0 => 'color: #ff0000;'
+ ),
+ 'METHODS' => array(
+ ),
+ 'SYMBOLS' => array(
+ 0 => 'color: #66cc66;'
+ ),
+ 'REGEXPS' => array(
+ 0 => 'color: #ff0000;',
+ 1 => 'color: #ff0000;',
+ 2 => 'color: #ff0000;',
+ 3 => 'color: #ff0000;'
+ ),
+ 'SCRIPT' => array(
+ )
+ ),
+ 'URLS' => array(
+ 1 => '',
+ 2 => ''
+ ),
+ 'OOLANG' => false,
+ 'OBJECT_SPLITTERS' => array(
+ ),
+ 'REGEXPS' => array(
+ 0 => '(\b(0x)[0-9a-fA-F]{2,}[hH]?|\b(0x)?[0-9a-fA-F]{2,}[hH])|'.
+ '(\b[0-9]{1,}((\.){1}[0-9]{1,}){0,1}(E)[\-]{0,1}[0-9]{1,})|'.
+ '(\b(ns))|'.
+ "('[0-9a-zA-Z]+)",
+ 1 => "\b(''[0-9]'')"
+ ),
+ 'STRICT_MODE_APPLIES' => GESHI_NEVER,
+ 'SCRIPT_DELIMITERS' => array(
+ ),
+ 'HIGHLIGHT_STRICT_BLOCK' => array(
+ )
+);
+
+?>
diff --git a/inc/geshi/xml.php b/inc/geshi/xml.php
index 9eb775b7e..24bf1a4d6 100644
--- a/inc/geshi/xml.php
+++ b/inc/geshi/xml.php
@@ -5,9 +5,9 @@
* Author: Nigel McNie (oracle.shinoda@gmail.com)
* Copyright: (c) 2004 Nigel McNie (http://qbnz.com/highlighter/)
* Release Version: 1.0.6
- * CVS Revision Version: $Revision: 1.1 $
+ * CVS Revision Version: $Revision: 1.3 $
* Date Started: 2004/09/01
- * Last Modified: $Date: 2005/01/29 01:48:39 $
+ * Last Modified: $Date: 2005/06/13 22:30:42 $
*
* XML language file for GeSHi. Based on the idea/file by Christian Weiske
*
@@ -43,7 +43,7 @@
************************************************************************************/
$language_data = array (
- 'LANG_NAME' => 'HTML',
+ 'LANG_NAME' => 'XML',
'COMMENT_SINGLE' => array(),
'COMMENT_MULTI' => array('<!--' => '-->'),
'CASE_KEYWORDS' => GESHI_CAPS_NO_CHANGE,
@@ -105,7 +105,7 @@ $language_data = array (
GESHI_AFTER => '\\4'
),
1 => array(
- GESHI_SEARCH => '(&lt;/?[a-z0-9]*(&gt;)?)',
+ GESHI_SEARCH => '(&lt;/?[a-z0-9_]*(&gt;)?)',
GESHI_REPLACE => '\\1',
GESHI_MODIFIERS => 'i',
GESHI_BEFORE => '',