summaryrefslogtreecommitdiff
path: root/inc/geshi
diff options
context:
space:
mode:
authorAndreas Gohr <andi@splitbrain.org>2009-05-26 11:29:17 +0200
committerAndreas Gohr <andi@splitbrain.org>2009-05-26 11:29:17 +0200
commitc490825174ef8849814e5c397430337e4cfe1340 (patch)
tree2b1a91b1a99ad54f12db0330cca1830dc1eea87f /inc/geshi
parent129a62b803171ee73157098391ebc16f7e32a07c (diff)
downloadrpg-c490825174ef8849814e5c397430337e4cfe1340.tar.gz
rpg-c490825174ef8849814e5c397430337e4cfe1340.tar.bz2
GeSHi update to 1.0.8.4
Ignore-this: 455792081bedfbe25399d53aa7f93da5 darcs-hash:20090526092917-7ad00-0a96ee9fdf0e80d19efa0adce968d10bc00cc5f3.gz
Diffstat (limited to 'inc/geshi')
-rw-r--r--inc/geshi/abap.php30
-rw-r--r--inc/geshi/actionscript.php6
-rw-r--r--inc/geshi/actionscript3.php18
-rw-r--r--inc/geshi/ada.php2
-rw-r--r--inc/geshi/apache.php412
-rw-r--r--inc/geshi/applescript.php2
-rw-r--r--inc/geshi/apt_sources.php2
-rw-r--r--inc/geshi/asm.php2
-rw-r--r--inc/geshi/asp.php6
-rw-r--r--inc/geshi/autoit.php2
-rw-r--r--inc/geshi/avisynth.php2
-rw-r--r--inc/geshi/bash.php2
-rw-r--r--inc/geshi/basic4gl.php2
-rw-r--r--inc/geshi/bf.php2
-rw-r--r--inc/geshi/blitzbasic.php2
-rw-r--r--inc/geshi/bnf.php2
-rw-r--r--inc/geshi/boo.php2
-rw-r--r--inc/geshi/c.php6
-rw-r--r--inc/geshi/c_mac.php4
-rw-r--r--inc/geshi/caddcl.php2
-rw-r--r--inc/geshi/cadlisp.php2
-rw-r--r--inc/geshi/cfdg.php2
-rw-r--r--inc/geshi/cfm.php4
-rw-r--r--inc/geshi/cil.php2
-rw-r--r--inc/geshi/cobol.php2
-rw-r--r--inc/geshi/cpp-qt.php4
-rw-r--r--inc/geshi/cpp.php4
-rw-r--r--inc/geshi/csharp.php7
-rw-r--r--inc/geshi/css.php2
-rw-r--r--inc/geshi/d.php2
-rw-r--r--inc/geshi/dcs.php2
-rw-r--r--inc/geshi/delphi.php8
-rw-r--r--inc/geshi/diff.php2
-rw-r--r--inc/geshi/div.php2
-rw-r--r--inc/geshi/dos.php2
-rw-r--r--inc/geshi/dot.php2
-rw-r--r--inc/geshi/eiffel.php2
-rw-r--r--inc/geshi/email.php6
-rw-r--r--inc/geshi/fortran.php2
-rw-r--r--inc/geshi/freebasic.php2
-rw-r--r--inc/geshi/genero.php2
-rw-r--r--inc/geshi/gettext.php2
-rw-r--r--inc/geshi/glsl.php2
-rw-r--r--inc/geshi/gml.php2
-rw-r--r--inc/geshi/gnuplot.php6
-rw-r--r--inc/geshi/groovy.php2
-rw-r--r--inc/geshi/haskell.php2
-rw-r--r--inc/geshi/hq9plus.php2
-rw-r--r--inc/geshi/html4strict.php2
-rw-r--r--inc/geshi/idl.php2
-rw-r--r--inc/geshi/ini.php2
-rw-r--r--inc/geshi/inno.php2
-rw-r--r--inc/geshi/intercal.php2
-rw-r--r--inc/geshi/io.php2
-rw-r--r--inc/geshi/java.php2
-rw-r--r--inc/geshi/java5.php2
-rw-r--r--inc/geshi/javascript.php2
-rw-r--r--inc/geshi/kixtart.php2
-rw-r--r--inc/geshi/klonec.php2
-rw-r--r--inc/geshi/klonecpp.php2
-rw-r--r--inc/geshi/latex.php31
-rw-r--r--inc/geshi/lisp.php2
-rw-r--r--inc/geshi/locobasic.php2
-rw-r--r--inc/geshi/lolcode.php2
-rw-r--r--inc/geshi/lotusformulas.php2
-rw-r--r--inc/geshi/lotusscript.php2
-rw-r--r--inc/geshi/lscript.php2
-rw-r--r--inc/geshi/lsl2.php2
-rw-r--r--inc/geshi/lua.php2
-rw-r--r--inc/geshi/m68k.php2
-rw-r--r--inc/geshi/make.php2
-rw-r--r--inc/geshi/matlab.php2
-rw-r--r--inc/geshi/mirc.php18
-rw-r--r--inc/geshi/modula3.php2
-rw-r--r--inc/geshi/mpasm.php2
-rw-r--r--inc/geshi/mxml.php2
-rw-r--r--inc/geshi/mysql.php2
-rw-r--r--inc/geshi/nsis.php2
-rw-r--r--inc/geshi/oberon2.php2
-rw-r--r--inc/geshi/objc.php2
-rw-r--r--inc/geshi/ocaml-brief.php2
-rw-r--r--inc/geshi/ocaml.php2
-rw-r--r--inc/geshi/oobas.php2
-rw-r--r--inc/geshi/oracle11.php2
-rw-r--r--inc/geshi/oracle8.php2
-rw-r--r--inc/geshi/pascal.php2
-rw-r--r--inc/geshi/per.php2
-rw-r--r--inc/geshi/perl.php2
-rw-r--r--inc/geshi/php-brief.php28
-rw-r--r--inc/geshi/php.php42
-rw-r--r--inc/geshi/pic16.php2
-rw-r--r--inc/geshi/pixelbender.php2
-rw-r--r--inc/geshi/plsql.php2
-rw-r--r--inc/geshi/povray.php2
-rw-r--r--inc/geshi/powershell.php22
-rw-r--r--inc/geshi/progress.php60
-rw-r--r--inc/geshi/prolog.php2
-rw-r--r--inc/geshi/providex.php2
-rw-r--r--inc/geshi/python.php2
-rw-r--r--inc/geshi/qbasic.php2
-rw-r--r--inc/geshi/rails.php2
-rw-r--r--inc/geshi/rebol.php2
-rw-r--r--inc/geshi/reg.php2
-rw-r--r--inc/geshi/robots.php2
-rw-r--r--inc/geshi/ruby.php2
-rw-r--r--inc/geshi/sas.php2
-rw-r--r--inc/geshi/scala.php2
-rw-r--r--inc/geshi/scheme.php2
-rw-r--r--inc/geshi/scilab.php6
-rw-r--r--inc/geshi/sdlbasic.php2
-rw-r--r--inc/geshi/smalltalk.php2
-rw-r--r--inc/geshi/smarty.php2
-rw-r--r--inc/geshi/sql.php2
-rw-r--r--inc/geshi/tcl.php2
-rw-r--r--inc/geshi/teraterm.php2
-rw-r--r--inc/geshi/text.php2
-rw-r--r--inc/geshi/thinbasic.php2
-rw-r--r--inc/geshi/tsql.php31
-rw-r--r--inc/geshi/typoscript.php2
-rw-r--r--inc/geshi/vb.php2
-rw-r--r--inc/geshi/vbnet.php2
-rw-r--r--inc/geshi/verilog.php2
-rw-r--r--inc/geshi/vhdl.php2
-rw-r--r--inc/geshi/vim.php2
-rw-r--r--inc/geshi/visualfoxpro.php2
-rw-r--r--inc/geshi/visualprolog.php2
-rw-r--r--inc/geshi/whitespace.php2
-rw-r--r--inc/geshi/winbatch.php2
-rw-r--r--inc/geshi/xml.php2
-rw-r--r--inc/geshi/xorg_conf.php2
-rw-r--r--inc/geshi/xpp.php2
-rw-r--r--inc/geshi/z80.php2
132 files changed, 649 insertions, 330 deletions
diff --git a/inc/geshi/abap.php b/inc/geshi/abap.php
index ffd8d10ea..229de9891 100644
--- a/inc/geshi/abap.php
+++ b/inc/geshi/abap.php
@@ -7,7 +7,7 @@
* - Sandra Rossi (sandra.rossi@gmail.com)
* - Jacob Laursen (jlu@kmd.dk)
* Copyright: (c) 2007 Andres Picazo
- * Release Version: 1.0.8.3
+ * Release Version: 1.0.8.4
* Date Started: 2004/06/04
*
* ABAP language file for GeSHi.
@@ -24,11 +24,6 @@
* highlighted for "ref to data", then secondly for "ref to". It is very important to
* position "ref to" after "ref to data" otherwise "data" wouldn't be highlighted because
* of the previous highlight.
- * Styles used : keywords are all displayed in upper case, and they are organized into 4 categories :
- * 1) control statements (blue), 2) declarative statements (red-maroon),
- * 3) other statements (blue-green), 4) keywords (violet).
- * + GeSHi : literals (red) + symbols (green) + methods/attributes (mauve)
- * + unchanged style for other words.
* Control, declarative and other statements are assigned URLs to sap documentation website:
* http://help.sap.com/abapdocu/en/ABAP<statement_name>.htm
*
@@ -823,7 +818,6 @@ $language_data = array(
'byte-na',
'byte-ns',
- 'c',
'ca',
'calling',
'casting',
@@ -857,7 +851,6 @@ $language_data = array(
'comparing',
'components',
'condition',
- 'constructor',
'context',
'copies',
'count',
@@ -879,7 +872,6 @@ $language_data = array(
'cx_root',
'cx_dynamic_check',
- 'd',
'dangerous',
'database',
'datainfo',
@@ -906,7 +898,6 @@ $language_data = array(
'div',
'dummy',
- 'e',
'encoding',
'end-lines',
'engineering',
@@ -944,7 +935,6 @@ $language_data = array(
'from_mixed',
'friends',
'from',
- 'f',
'giving',
'ge',
@@ -960,7 +950,6 @@ $language_data = array(
'hold',
'hotspot',
- 'i',
'id',
'ids',
'immediately',
@@ -1047,7 +1036,6 @@ $language_data = array(
'non-unicode',
'no',
'number',
- 'n',
'nmax',
'nmin',
'not',
@@ -1085,7 +1073,6 @@ $language_data = array(
'priority',
'public',
'pushbutton',
- 'p',
'queue-only',
'quickinfo',
@@ -1161,7 +1148,6 @@ $language_data = array(
'supplied',
'switch',
- 't',
'tan',
'tanh',
'table_line',
@@ -1208,7 +1194,6 @@ $language_data = array(
'with-heading',
'with-title',
- 'x',
'xsequence',
'xstring',
'xstrlen',
@@ -1317,10 +1302,14 @@ $language_data = array(
),
'SYMBOLS' => array(
0 => array(
- '='
+ '->*', '->', '=>',
+ '(', ')', '{', '}', '[', ']', '+', '-', '*', '/', '!', '%', '^', '&', ':', ',', '.'
),
1 => array(
- '(', ')', '{', '}', '[', ']', '+', '-', '*', '/', '!', '%', '^', '&', ':'
+ '>=', '<=', '<', '>', '='
+ ),
+ 2 => array(
+ '?='
)
),
'CASE_SENSITIVE' => array(
@@ -1369,8 +1358,9 @@ $language_data = array(
2 => 'color: #202020;'
),
'SYMBOLS' => array(
- 0 => 'color: #800080;',
- 1 => 'color: #808080;'
+ 0 => 'color: #808080;',
+ 1 => 'color: #800080;',
+ 2 => 'color: #0000ff;'
),
'REGEXPS' => array(
),
diff --git a/inc/geshi/actionscript.php b/inc/geshi/actionscript.php
index 658491dae..016dc8e90 100644
--- a/inc/geshi/actionscript.php
+++ b/inc/geshi/actionscript.php
@@ -4,7 +4,7 @@
* ----------------
* Author: Steffen Krause (Steffen.krause@muse.de)
* Copyright: (c) 2004 Steffen Krause, Nigel McNie (http://qbnz.com/highlighter)
- * Release Version: 1.0.8.3
+ * Release Version: 1.0.8.4
* Date Started: 2004/06/20
*
* Actionscript language file for GeSHi.
@@ -48,7 +48,7 @@ $language_data = array (
'ESCAPE_CHAR' => '\\',
'KEYWORDS' => array(
1 => array(
- '#include', 'for', 'foreach', 'if', 'elseif', 'else', 'while', 'do', 'dowhile',
+ '#include', 'for', 'foreach', 'each', 'if', 'elseif', 'else', 'while', 'do', 'dowhile',
'endwhile', 'endif', 'switch', 'case', 'endswitch', 'return', 'break', 'continue', 'in'
),
2 => array(
@@ -194,4 +194,4 @@ $language_data = array (
'HIGHLIGHT_STRICT_BLOCK' => array()
);
-?>
+?> \ No newline at end of file
diff --git a/inc/geshi/actionscript3.php b/inc/geshi/actionscript3.php
index b98002f98..a54e9d4df 100644
--- a/inc/geshi/actionscript3.php
+++ b/inc/geshi/actionscript3.php
@@ -4,7 +4,7 @@
* ----------------
* Author: Jordi Boggiano (j.boggiano@seld.be)
* Copyright: (c) 2007 Jordi Boggiano (http://www.seld.be/), Benny Baumann (http://qbnz.com/highlighter)
- * Release Version: 1.0.8.3
+ * Release Version: 1.0.8.4
* Date Started: 2007/11/26
*
* ActionScript3 language file for GeSHi.
@@ -58,6 +58,10 @@ $language_data = array (
'LANG_NAME' => 'ActionScript 3',
'COMMENT_SINGLE' => array(1 => '//'),
'COMMENT_MULTI' => array('/*' => '*/'),
+ 'COMMENT_REGEXP' => array(
+ //Regular expressions
+ 2 => "/(?<=[\\s^])(s|tr|y)\\/(?:\\\\.|(?!\n)[^\\/\\\\])+\\/(?:\\\\.|(?!\n)[^\\/\\\\])*\\/[msixpogcde]*(?=[\\s$\\.\\;])|(?<=[\\s^(=])(m|q[qrwx]?)?\\/(?:\\\\.|(?!\n)[^\\/\\\\])+\\/[msixpogc]*(?=[\\s$\\.\\,\\;\\)])/iU",
+ ),
'CASE_KEYWORDS' => GESHI_CAPS_NO_CHANGE,
'QUOTEMARKS' => array("'", '"'),
'ESCAPE_CHAR' => '\\',
@@ -67,7 +71,8 @@ $language_data = array (
'throw', 'this', 'switch', 'super', 'set', 'return', 'public', 'protected',
'private', 'null', 'new', 'is', 'internal', 'instanceof', 'in',
'import', 'if', 'get', 'for', 'false', 'else', 'each', 'do',
- 'delete', 'default', 'continue', 'catch', 'case', 'break', 'as'
+ 'delete', 'default', 'continue', 'catch', 'case', 'break', 'as',
+ 'extends'
),
2 => array(
'var'
@@ -390,7 +395,7 @@ $language_data = array (
)
),
'SYMBOLS' => array(
- '(', ')', '[', ']', '{', '}', '!', '%', '&', '*', '|', '/', '<', '>', '^', '-', '+', '~', '?', ':'
+ '(', ')', '[', ']', '{', '}', '!', '%', '&', '*', '|', '/', '<', '>', '^', '-', '+', '~', '?', ':', ';', '.', ','
),
'CASE_SENSITIVE' => array(
GESHI_COMMENTS => false,
@@ -415,7 +420,8 @@ $language_data = array (
8 => 'color: #004993;'
),
'COMMENTS' => array(
- 1 => 'color: #009900;',
+ 1 => 'color: #009900; font-style: italic;',
+ 2 => 'color: #009966; font-style: italic;',
'MULTI' => 'color: #3f5fbf;'
),
'ESCAPE_CHAR' => array(
@@ -434,7 +440,7 @@ $language_data = array (
0 => 'color: #000000;',
),
'SYMBOLS' => array(
- 0 => 'color: #000000; font-weight: bold;'
+ 0 => 'color: #000066; font-weight: bold;'
),
'REGEXPS' => array(
),
@@ -446,7 +452,7 @@ $language_data = array (
2 => '',
3 => '',
4 => '',
- 5 => 'http://www.google.com/search?q={FNAMEL}%20inurl:http://livedocs.adobe.com/flex/201/langref/%20inurl:{FNAMEL}.html&amp;filter=0&amp;num=100&amp;btnI=lucky',
+ 5 => 'http://www.google.com/search?q={FNAMEL}%20inurl:http://livedocs.adobe.com/flex/201/langref/%20inurl:{FNAMEL}.html',
6 => '',
7 => '',
8 => ''
diff --git a/inc/geshi/ada.php b/inc/geshi/ada.php
index 1013883e4..530ed5e4c 100644
--- a/inc/geshi/ada.php
+++ b/inc/geshi/ada.php
@@ -4,7 +4,7 @@
* -------
* Author: Tux (tux@inmail.cz)
* Copyright: (c) 2004 Tux (http://tux.a4.cz/), Nigel McNie (http://qbnz.com/highlighter)
- * Release Version: 1.0.8.3
+ * Release Version: 1.0.8.4
* Date Started: 2004/07/29
*
* Ada language file for GeSHi.
diff --git a/inc/geshi/apache.php b/inc/geshi/apache.php
index fa06afeb0..f319e3e3c 100644
--- a/inc/geshi/apache.php
+++ b/inc/geshi/apache.php
@@ -4,7 +4,7 @@
* ----------
* Author: Tux (tux@inmail.cz)
* Copyright: (c) 2004 Tux (http://tux.a4.cz/), Nigel McNie (http://qbnz.com/highlighter)
- * Release Version: 1.0.8.3
+ * Release Version: 1.0.8.4
* Date Started: 2004/29/07
*
* Apache language file for GeSHi.
@@ -57,81 +57,355 @@ $language_data = array (
'KEYWORDS' => array(
/*keywords*/
1 => array(
- 'accessconfig','accessfilename','action','addalt',
- 'addaltbyencoding','addaltbytype','addcharset',
- 'adddefaultcharset','adddescription',
- 'addencoding','addhandler','addicon','addiconbyencoding',
- 'addiconbytype','addlanguage','addmodule','addmoduleinfo',
- 'addtype','agentlog','alias','aliasmatch',
- 'allow','allowconnect','allowoverride','anonymous',
- 'anonymous_authoritative','anonymous_logemail','anonymous_mustgiveemail',
- 'anonymous_nouserid','anonymous_verifyemail','authauthoritative',
- 'authdbauthoritative','authdbgroupfile','authdbmauthoritative',
- 'authdbmgroupfile','authdbuserfile','authdbmuserfile',
- 'authdigestfile','authgroupfile','authname','authtype',
- 'authuserfile','bindaddress','browsermatch','browsermatchnocase',
- 'bs2000account','cachedefaultexpire','cachedirlength','cachedirlevels',
- 'cacheforcecompletion','cachegcinterval','cachelastmodifiedfactor','cachemaxexpire',
- 'cachenegotiateddocs','cacheroot','cachesize','checkspelling',
- 'clearmodulelist','contentdigest','cookieexpires','cookielog',
- 'cookietracking','coredumpdirectory','customlog',
- 'defaulticon','defaultlanguage','defaulttype','define',
- 'deny','directory','directorymatch','directoryindex',
- 'documentroot','errordocument','errorlog','example',
- 'expiresactive','expiresbytype','expiresdefault','extendedstatus',
- 'fancyindexing','files','filesmatch','forcetype',
- 'group','header','headername','hostnamelookups',
- 'identitycheck','ifdefine','ifmodule','imapbase',
- 'imapdefault','imapmenu','include','indexignore','indexorderdefault',
- 'indexoptions','keepalive','keepalivetimeout','languagepriority',
- 'limit','limitexcept','limitrequestbody','limitrequestfields',
- 'limitrequestfieldsize','limitrequestline','listen','listenbacklog',
- 'loadfile','loadmodule','location','locationmatch',
- 'lockfile','logformat','loglevel','maxclients',
- 'maxkeepaliverequests','maxrequestsperchild','maxspareservers','maxsparethreads','metadir',
- 'metafiles','metasuffix','mimemagicfile','minspareservers','minsparethreads',
- 'mmapfile','namevirtualhost','nocache','options','order',
- 'passenv','php_admin_value','php_admin_flag','php_value','pidfile','port','proxyblock','proxydomain',
- 'proxypass','proxypassreverse','proxyreceivebuffersize','proxyremote',
- 'proxyrequests','proxyvia','qsc','readmename',
- 'redirect','redirectmatch','redirectpermanent','redirecttemp',
- 'refererignore','refererlog','removehandler','require',
- 'resourceconfig','rewritebase','rewritecond','rewriteengine',
- 'rewritelock','rewritelog','rewriteloglevel','rewritemap',
- 'rewriteoptions','rewriterule','rlimitcpu','rlimitmem',
- 'rlimitnproc','satisfy','scoreboardfile','script',
- 'scriptalias','scriptaliasmatch','scriptinterpretersource','scriptlog',
- 'scriptlogbuffer','scriptloglength','sendbuffersize',
- 'serveradmin','serveralias','servername','serverpath',
- 'serverroot','serversignature','servertokens','servertype',
- 'setenv','setenvif','setenvifnocase','sethandler',
- 'singlelisten','startservers','threadsperchild','timeout',
- 'transferlog','typesconfig','unsetenv','usecanonicalname',
- 'user','userdir','virtualhost','virtualdocumentroot',
- 'virtualdocumentrootip','virtualscriptalias','virtualscriptaliasip',
- 'xbithack','from','all'
+ //core.c
+ 'AcceptFilter','AcceptPathInfo','AccessConfig','AccessFileName',
+ 'AddDefaultCharset','AddOutputFilterByType','AllowEncodedSlashes',
+ 'AllowOverride','AuthName','AuthType','ContentDigest',
+ 'CoreDumpDirectory','DefaultType','DocumentRoot','EnableMMAP',
+ 'EnableSendfile','ErrorDocument','ErrorLog','FileETag','ForceType',
+ 'HostnameLookups','Include','LimitInternalRecursion',
+ 'LimitRequestBody','LimitRequestFields','LimitRequestFieldsize',
+ 'LimitRequestLine','LimitXMLRequestBody','LogLevel','MaxMemFree',
+ 'MaxRequestsPerChild','NameVirtualHost','Options','PidFile','Port',
+ 'Protocol','Require','RLimitCPU','RLimitMEM','RLimitNPROC',
+ 'Satisfy','ScoreBoardFile','ServerAdmin','ServerAlias','ServerName',
+ 'ServerPath','ServerRoot','ServerSignature','ServerTokens',
+ 'SetHandler','SetInputFilter','SetOutputFilter','ThreadStackSize',
+ 'Timeout','TraceEnable','UseCanonicalName',
+ 'UseCanonicalPhysicalPort',
+
+ //http_core.c
+ 'KeepAlive','KeepAliveTimeout','MaxKeepAliveRequests',
+
+ //mod_actions.c
+ 'Action','Script',
+
+ //mod_alias.c
+ 'Alias','AliasMatch','Redirect','RedirectMatch','RedirectPermanent',
+ 'RedirectTemp','ScriptAlias','ScriptAliasMatch',
+
+ //mod_asis.c
+
+ //mod_auth_basic.c
+ 'AuthBasicAuthoritative','AuthBasicProvider',
+
+ //mod_auth_digest.c
+ 'AuthDigestAlgorithm','AuthDigestDomain','AuthDigestNcCheck',
+ 'AuthDigestNonceFormat','AuthDigestNonceLifetime',
+ 'AuthDigestProvider','AuthDigestQop','AuthDigestShmemSize',
+
+ //mod_authn_alias.c
+
+ //mod_authn_anon.c
+ 'Anonymous','Anonymous_LogEmail','Anonymous_MustGiveEmail',
+ 'Anonymous_NoUserId','Anonymous_VerifyEmail',
+
+ //mod_authn_dbd.c
+ 'AuthDBDUserPWQuery','AuthDBDUserRealmQuery',
+
+ //mod_authn_dbm.c
+ 'AuthDBMType','AuthDBMUserFile',
+
+ //mod_authn_default.c
+ 'AuthDefaultAuthoritative',
+
+ //mod_authn_file.c
+ 'AuthUserFile',
+
+ //mod_authnz_ldap.c
+ 'AuthLDAPBindDN','AuthLDAPBindPassword','AuthLDAPCharsetConfig',
+ 'AuthLDAPCompareDNOnServer','AuthLDAPDereferenceAliases',
+ 'AuthLDAPGroupAttribute','AuthLDAPGroupAttributeIsDN',
+ 'AuthLDAPRemoteUserAttribute','AuthLDAPRemoteUserIsDN',
+ 'AuthLDAPURL','AuthzLDAPAuthoritative',
+
+ //mod_authz_dbm.c
+ 'AuthDBMGroupFile','AuthzDBMAuthoritative','AuthzDBMType',
+
+ //mod_authz_default.c
+ 'AuthzDefaultAuthoritative',
+
+ //mod_authz_groupfile.c
+ 'AuthGroupFile','AuthzGroupFileAuthoritative',
+
+ //mod_authz_host.c
+ 'Allow','Deny','Order',
+
+ //mod_authz_owner.c
+ 'AuthzOwnerAuthoritative',
+
+ //mod_authz_svn.c
+ 'AuthzForceUsernameCase','AuthzSVNAccessFile','AuthzSVNAnonymous',
+ 'AuthzSVNAuthoritative','AuthzSVNNoAuthWhenAnonymousAllowed',
+
+ //mod_authz_user.c
+ 'AuthzUserAuthoritative',
+
+ //mod_autoindex.c
+ 'AddAlt','AddAltByEncoding','AddAltByType','AddDescription',
+ 'AddIcon','AddIconByEncoding','AddIconByType','DefaultIcon',
+ 'FancyIndexing','HeaderName','IndexHeadInsert','IndexIgnore',
+ 'IndexOptions','IndexOrderDefault','IndexStyleSheet','ReadmeName',
+
+ //mod_bt.c
+ 'Tracker','TrackerDetailURL','TrackerFlags','TrackerHashMaxAge',
+ 'TrackerHashMinAge','TrackerHashWatermark','TrackerHome',
+ 'TrackerReturnInterval','TrackerReturnMax',
+ 'TrackerReturnPeerFactor','TrackerReturnPeers','TrackerRootInclude',
+ 'TrackerStyleSheet',
+
+ //mod_bw.c
+ 'BandWidth','BandWidthError','BandWidthModule','BandWidthPacket',
+ 'ForceBandWidthModule','LargeFileLimit','MaxConnection',
+ 'MinBandWidth',
+
+ //mod_cache.c
+ 'CacheDefaultExpire','CacheDisable','CacheEnable',
+ 'CacheIgnoreCacheControl','CacheIgnoreHeaders',
+ 'CacheIgnoreNoLastMod','CacheIgnoreQueryString',
+ 'CacheLastModifiedFactor','CacheMaxExpire','CacheStoreNoStore',
+ 'CacheStorePrivate',
+
+ //mod_cern_meta.c
+ 'MetaDir','MetaFiles','MetaSuffix',
+
+ //mod_cgi.c
+ 'ScriptLog','ScriptLogBuffer','ScriptLogLength',
+
+ //mod_charset_lite.c
+ 'CharsetDefault','CharsetOptions','CharsetSourceEnc',
+
+ //mod_dav.c
+ 'DAV','DAVDepthInfinity','DAVMinTimeout',
+
+ //mod_dav_fs.c
+ 'DAVLockDB',
+
+ //mod_dav_lock.c
+ 'DAVGenericLockDB',
+
+ //mod_dav_svn.c
+ 'SVNActivitiesDB','SVNAllowBulkUpdates','SVNAutoversioning',
+ 'SVNIndexXSLT','SVNListParentPath','SVNMasterURI','SVNParentPath',
+ 'SVNPath','SVNPathAuthz','SVNReposName','SVNSpecialURI',
+
+ //mod_dbd.c
+ 'DBDExptime','DBDKeep','DBDMax','DBDMin','DBDParams','DBDPersist',
+ 'DBDPrepareSQL','DBDriver',
+
+ //mod_deflate.c
+ 'DeflateBufferSize','DeflateCompressionLevel','DeflateFilterNote',
+ 'DeflateMemLevel','DeflateWindowSize',
+
+ //mod_dir.c
+ 'DirectoryIndex','DirectorySlash',
+
+ //mod_disk_cache.c
+ 'CacheDirLength','CacheDirLevels','CacheMaxFileSize',
+ 'CacheMinFileSize','CacheRoot',
+
+ //mod_dumpio.c
+ 'DumpIOInput','DumpIOLogLevel','DumpIOOutput',
+
+ //mod_env.c
+ 'PassEnv','SetEnv','UnsetEnv',
+
+ //mod_expires.c
+ 'ExpiresActive','ExpiresByType','ExpiresDefault',
+
+ //mod_ext_filter.c
+ 'ExtFilterDefine','ExtFilterOptions',
+
+ //mod_file_cache.c
+ 'cachefile','mmapfile',
+
+ //mod_filter.c
+ 'FilterChain','FilterDeclare','FilterProtocol','FilterProvider',
+ 'FilterTrace',
+
+ //mod_gnutls.c
+ 'GnuTLSCache','GnuTLSCacheTimeout','GnuTLSCertificateFile',
+ 'GnuTLSKeyFile','GnuTLSPGPCertificateFile','GnuTLSPGPKeyFile',
+ 'GnuTLSClientVerify','GnuTLSClientCAFile','GnuTLSPGPKeyringFile',
+ 'GnuTLSEnable','GnuTLSDHFile','GnuTLSRSAFile','GnuTLSSRPPasswdFile',
+ 'GnuTLSSRPPasswdConfFile','GnuTLSPriorities',
+ 'GnuTLSExportCertificates',
+
+ //mod_headers.c
+ 'Header','RequestHeader',
+
+ //mod_imagemap.c
+ 'ImapBase','ImapDefault','ImapMenu',
+
+ //mod_include.c
+ 'SSIAccessEnable','SSIEndTag','SSIErrorMsg','SSIStartTag',
+ 'SSITimeFormat','SSIUndefinedEcho','XBitHack',
+
+ //mod_ident.c
+ 'IdentityCheck','IdentityCheckTimeout',
+
+ //mod_info.c
+ 'AddModuleInfo',
+
+ //mod_isapi.c
+ 'ISAPIAppendLogToErrors','ISAPIAppendLogToQuery','ISAPICacheFile',
+ 'ISAPIFakeAsync','ISAPILogNotSupported','ISAPIReadAheadBuffer',
+
+ //mod_log_config.c
+ 'BufferedLogs','CookieLog','CustomLog','LogFormat','TransferLog',
+
+ //mod_log_forensic.c
+ 'ForensicLog',
+
+ //mod_log_rotate.c
+ 'RotateInterval','RotateLogs','RotateLogsLocalTime',
+
+ //mod_logio.c
+
+ //mod_mem_cache.c
+ 'MCacheMaxObjectCount','MCacheMaxObjectSize',
+ 'MCacheMaxStreamingBuffer','MCacheMinObjectSize',
+ 'MCacheRemovalAlgorithm','MCacheSize',
+
+ //mod_mime.c
+ 'AddCharset','AddEncoding','AddHandler','AddInputFilter',
+ 'AddLanguage','AddOutputFilter','AddType','DefaultLanguage',
+ 'ModMimeUsePathInfo','MultiviewsMatch','RemoveCharset',
+ 'RemoveEncoding','RemoveHandler','RemoveInputFilter',
+ 'RemoveLanguage','RemoveOutputFilter','RemoveType','TypesConfig',
+
+ //mod_mime_magic.c
+ 'MimeMagicFile',
+
+ //mod_negotiation.c
+ 'CacheNegotiatedDocs','ForceLanguagePriority','LanguagePriority',
+
+ //mod_php5.c
+ 'php_admin_flag','php_admin_value','php_flag','php_value',
+ 'PHPINIDir',
+
+ //mod_proxy.c
+ 'AllowCONNECT','BalancerMember','NoProxy','ProxyBadHeader',
+ 'ProxyBlock','ProxyDomain','ProxyErrorOverride',
+ 'ProxyFtpDirCharset','ProxyIOBufferSize','ProxyMaxForwards',
+ 'ProxyPass','ProxyPassInterpolateEnv','ProxyPassMatch',
+ 'ProxyPassReverse','ProxyPassReverseCookieDomain',
+ 'ProxyPassReverseCookiePath','ProxyPreserveHost',
+ 'ProxyReceiveBufferSize','ProxyRemote','ProxyRemoteMatch',
+ 'ProxyRequests','ProxySet','ProxyStatus','ProxyTimeout','ProxyVia',
+
+ //mod_proxy_ajp.c
+
+ //mod_proxy_balancer.c
+
+ //mod_proxy_connect.c
+
+ //mod_proxy_ftp.c
+
+ //mod_proxy_http.c
+
+ //mod_rewrite.c
+ 'RewriteBase','RewriteCond','RewriteEngine','RewriteLock',
+ 'RewriteLog','RewriteLogLevel','RewriteMap','RewriteOptions',
+ 'RewriteRule',
+
+ //mod_setenvif.c
+ 'BrowserMatch','BrowserMatchNoCase','SetEnvIf','SetEnvIfNoCase',
+
+ //mod_so.c
+ 'LoadFile','LoadModule',
+
+ //mod_speling.c
+ 'CheckCaseOnly','CheckSpelling',
+
+ //mod_ssl.c
+ 'SSLCACertificateFile','SSLCACertificatePath','SSLCADNRequestFile',
+ 'SSLCADNRequestPath','SSLCARevocationFile','SSLCARevocationPath',
+ 'SSLCertificateChainFile','SSLCertificateFile',
+ 'SSLCertificateKeyFile','SSLCipherSuite','SSLCryptoDevice',
+ 'SSLEngine','SSLHonorCipherOrder','SSLMutex','SSLOptions',
+ 'SSLPassPhraseDialog','SSLProtocol','SSLProxyCACertificateFile',
+ 'SSLProxyCACertificatePath','SSLProxyCARevocationFile',
+ 'SSLProxyCARevocationPath','SSLProxyCipherSuite','SSLProxyEngine',
+ 'SSLProxyMachineCertificateFile','SSLProxyMachineCertificatePath',
+ 'SSLProxyProtocol','SSLProxyVerify','SSLProxyVerifyDepth',
+ 'SSLRandomSeed','SSLRenegBufferSize','SSLRequire','SSLRequireSSL',
+ 'SSLSessionCache','SSLSessionCacheTimeout','SSLUserName',
+ 'SSLVerifyClient','SSLVerifyDepth',
+
+ //mod_status.c
+ 'ExtendedStatus','SeeRequestTail',
+
+ //mod_substitute.c
+ 'Substitute',
+
+ //mod_suexec.c
+ 'SuexecUserGroup',
+
+ //mod_unique_id.c
+
+ //mod_userdir.c
+ 'UserDir',
+
+ //mod_usertrack.c
+ 'CookieDomain','CookieExpires','CookieName','CookieStyle',
+ 'CookieTracking',
+
+ //mod_version.c
+
+ //mod_vhost_alias.c
+ 'VirtualDocumentRoot','VirtualDocumentRootIP',
+ 'VirtualScriptAlias','VirtualScriptAliasIP',
+
+ //mod_view.c
+ 'ViewEnable',
+
+ //mod_win32.c
+ 'ScriptInterpreterSource',
+
+ //mpm_winnt.c
+ 'Listen','ListenBacklog','ReceiveBufferSize','SendBufferSize',
+ 'ThreadLimit','ThreadsPerChild','Win32DisableAcceptEx',
+
+ //mpm_common.c
+ 'AcceptMutex','AddModule','ClearModuleList','EnableExceptionHook',
+ 'Group','LockFile','MaxClients','MaxSpareServers','MaxSpareThreads',
+ 'MinSpareServers','MinSpareThreads','ServerLimit','StartServers',
+ 'StartThreads','User',
+
+ //util_ldap.c
+ 'LDAPCacheEntries','LDAPCacheTTL','LDAPConnectionTimeout',
+ 'LDAPOpCacheEntries','LDAPOpCacheTTL','LDAPSharedCacheFile',
+ 'LDAPSharedCacheSize','LDAPTrustedClientCert',
+ 'LDAPTrustedGlobalCert','LDAPTrustedMode','LDAPVerifyServerCert',
+
+ //Unknown Mods ...
+ 'AgentLog','BindAddress','bs2000account','CacheForceCompletion',
+ 'CacheGCInterval','CacheSize','NoCache','qsc','RefererIgnore',
+ 'RefererLog','Resourceconfig','ServerType','SingleListen'
),
/*keywords 2*/
2 => array(
- 'on','off','standalone','inetd','indexes',
+ 'all','on','off','standalone','inetd','indexes',
'force-response-1.0','downgrade-1.0','nokeepalive',
- 'ndexes','includes','followsymlinks','none',
+ 'includes','followsymlinks','none',
'x-compress','x-gzip'
),
/*keywords 3*/
3 => array(
- 'Directory',
- 'DirectoryMatch',
- 'Files',
- 'FilesMatch',
- 'IfDefine',
- 'IfModule',
- 'IfVersion',
- 'Location',
- 'LocationMatch',
- 'Proxy',
- 'ProxyMatch',
- 'VirtualHost'
+ //core.c
+ 'Directory','DirectoryMatch','Files','FilesMatch','IfDefine',
+ 'IfModule','Limit','LimitExcept','Location','LocationMatch',
+ 'VirtualHost',
+
+ //mod_authn_alias.c
+ 'AuthnProviderAlias',
+
+ //mod_proxy.c
+ 'Proxy','ProxyMatch',
+
+ //mod_version.c
+ 'IfVersion'
)
),
'SYMBOLS' => array(
@@ -203,4 +477,4 @@ $language_data = array (
)
);
-?>
+?> \ No newline at end of file
diff --git a/inc/geshi/applescript.php b/inc/geshi/applescript.php
index 395bba7d1..85e3d6d0d 100644
--- a/inc/geshi/applescript.php
+++ b/inc/geshi/applescript.php
@@ -4,7 +4,7 @@
* --------
* Author: Stephan Klimek (http://www.initware.org)
* Copyright: Stephan Klimek (http://www.initware.org)
- * Release Version: 1.0.8.3
+ * Release Version: 1.0.8.4
* Date Started: 2005/07/20
*
* AppleScript language file for GeSHi.
diff --git a/inc/geshi/apt_sources.php b/inc/geshi/apt_sources.php
index 132103210..891c10e5e 100644
--- a/inc/geshi/apt_sources.php
+++ b/inc/geshi/apt_sources.php
@@ -4,7 +4,7 @@
* ----------
* Author: Milian Wolff (mail@milianw.de)
* Copyright: (c) 2008 Milian Wolff (http://milianw.de)
- * Release Version: 1.0.8.3
+ * Release Version: 1.0.8.4
* Date Started: 2008/06/17
*
* Apt sources.list language file for GeSHi.
diff --git a/inc/geshi/asm.php b/inc/geshi/asm.php
index af4eef77e..2efeac9dc 100644
--- a/inc/geshi/asm.php
+++ b/inc/geshi/asm.php
@@ -4,7 +4,7 @@
* -------
* Author: Tux (tux@inmail.cz)
* Copyright: (c) 2004 Tux (http://tux.a4.cz/), Nigel McNie (http://qbnz.com/highlighter)
- * Release Version: 1.0.8.3
+ * Release Version: 1.0.8.4
* Date Started: 2004/07/27
*
* x86 Assembler language file for GeSHi.
diff --git a/inc/geshi/asp.php b/inc/geshi/asp.php
index d2404bb83..7bfec11e1 100644
--- a/inc/geshi/asp.php
+++ b/inc/geshi/asp.php
@@ -4,7 +4,7 @@
* --------
* Author: Amit Gupta (http://blog.igeek.info/)
* Copyright: (c) 2004 Amit Gupta (http://blog.igeek.info/), Nigel McNie (http://qbnz.com/highlighter)
- * Release Version: 1.0.8.3
+ * Release Version: 1.0.8.4
* Date Started: 2004/08/13
*
* ASP language file for GeSHi.
@@ -151,7 +151,7 @@ $language_data = array (
2 => array(
'<script language="javascript" runat="server">' => '</script>'
),
- 3 => "/(<%=?)(?:\"[^\"]*?\"|\/\*(?!\*\/).*?\*\/|.)*?(%>|\Z)/sm"
+ 3 => "/(?<start><%=?)(?:\"[^\"]*?\"|\/\*(?!\*\/).*?\*\/|.)*?(?<end>%>|\Z)/sm"
),
'HIGHLIGHT_STRICT_BLOCK' => array(
0 => true,
@@ -161,4 +161,4 @@ $language_data = array (
)
);
-?>
+?> \ No newline at end of file
diff --git a/inc/geshi/autoit.php b/inc/geshi/autoit.php
index 259c8224f..526fe5d99 100644
--- a/inc/geshi/autoit.php
+++ b/inc/geshi/autoit.php
@@ -4,7 +4,7 @@
* --------
* Author: big_daddy (robert.i.anthony@gmail.com)
* Copyright: (c) 2006 and to GESHi ;)
- * Release Version: 1.0.8.3
+ * Release Version: 1.0.8.4
* Date Started: 2006/01/26
*
* AutoIT language file for GeSHi.
diff --git a/inc/geshi/avisynth.php b/inc/geshi/avisynth.php
index a3f60d0dd..c0526e956 100644
--- a/inc/geshi/avisynth.php
+++ b/inc/geshi/avisynth.php
@@ -4,7 +4,7 @@
* --------
* Author: Ryan Jones (sciguyryan@gmail.com)
* Copyright: (c) 2008 Ryan Jones
- * Release Version: 1.0.8.3
+ * Release Version: 1.0.8.4
* Date Started: 2008/10/08
*
* AviSynth language file for GeSHi.
diff --git a/inc/geshi/bash.php b/inc/geshi/bash.php
index b41f895ae..bb0a571ba 100644
--- a/inc/geshi/bash.php
+++ b/inc/geshi/bash.php
@@ -4,7 +4,7 @@
* --------
* Author: Andreas Gohr (andi@splitbrain.org)
* Copyright: (c) 2004 Andreas Gohr, Nigel McNie (http://qbnz.com/highlighter)
- * Release Version: 1.0.8.3
+ * Release Version: 1.0.8.4
* Date Started: 2004/08/20
*
* BASH language file for GeSHi.
diff --git a/inc/geshi/basic4gl.php b/inc/geshi/basic4gl.php
index a7b00b95d..5e3330930 100644
--- a/inc/geshi/basic4gl.php
+++ b/inc/geshi/basic4gl.php
@@ -4,7 +4,7 @@
* ---------------------------------
* Author: Matthew Webb (bmatthew1@blueyonder.co.uk)
* Copyright: (c) 2004 Matthew Webb (http://matthew-4gl.wikispaces.com)
- * Release Version: 1.0.8.3
+ * Release Version: 1.0.8.4
* Date Started: 2007/09/15
*
* Basic4GL language file for GeSHi.
diff --git a/inc/geshi/bf.php b/inc/geshi/bf.php
index e5dcc42e2..c4be922e0 100644
--- a/inc/geshi/bf.php
+++ b/inc/geshi/bf.php
@@ -4,7 +4,7 @@
* ----------
* Author: Benny Baumann (BenBE@geshi.org)
* Copyright: (c) 2008 Benny Baumann (http://qbnz.com/highlighter/)
- * Release Version: 1.0.8.3
+ * Release Version: 1.0.8.4
* Date Started: 2009/10/31
*
* Brainfuck language file for GeSHi.
diff --git a/inc/geshi/blitzbasic.php b/inc/geshi/blitzbasic.php
index a8c3259e1..3ad5eabf0 100644
--- a/inc/geshi/blitzbasic.php
+++ b/inc/geshi/blitzbasic.php
@@ -4,7 +4,7 @@
* --------------
* Author: P�draig O`Connel (info@moonsword.info)
* Copyright: (c) 2005 P�draig O`Connel (http://moonsword.info)
- * Release Version: 1.0.8.3
+ * Release Version: 1.0.8.4
* Date Started: 16.10.2005
*
* BlitzBasic language file for GeSHi.
diff --git a/inc/geshi/bnf.php b/inc/geshi/bnf.php
index c9b3aae37..0032acf48 100644
--- a/inc/geshi/bnf.php
+++ b/inc/geshi/bnf.php
@@ -4,7 +4,7 @@
* --------
* Author: Rowan Rodrik van der Molen (rowan@bigsmoke.us)
* Copyright: (c) 2006 Rowan Rodrik van der Molen (http://www.bigsmoke.us/)
- * Release Version: 1.0.8.3
+ * Release Version: 1.0.8.4
* Date Started: 2006/09/28
*
* BNF (Backus-Naur form) language file for GeSHi.
diff --git a/inc/geshi/boo.php b/inc/geshi/boo.php
index 1741d2c62..d555dd4a2 100644
--- a/inc/geshi/boo.php
+++ b/inc/geshi/boo.php
@@ -4,7 +4,7 @@
* --------
* Author: Marcus Griep (neoeinstein+GeSHi@gmail.com)
* Copyright: (c) 2007 Marcus Griep (http://www.xpdm.us)
- * Release Version: 1.0.8.3
+ * Release Version: 1.0.8.4
* Date Started: 2007/09/10
*
* Boo language file for GeSHi.
diff --git a/inc/geshi/c.php b/inc/geshi/c.php
index 272885aa8..86f576ef6 100644
--- a/inc/geshi/c.php
+++ b/inc/geshi/c.php
@@ -7,7 +7,7 @@
* - Jack Lloyd (lloyd@randombit.net)
* - Michael Mol (mikemol@gmail.com)
* Copyright: (c) 2004 Nigel McNie (http://qbnz.com/highlighter/)
- * Release Version: 1.0.8.3
+ * Release Version: 1.0.8.4
* Date Started: 2004/06/04
*
* C language file for GeSHi.
@@ -69,7 +69,7 @@ $language_data = array (
'ESCAPE_CHAR' => '',
'ESCAPE_REGEXP' => array(
//Simple Single Char Escapes
- 1 => "#\\\\[abfnrtv\\'\"?\n]#i",
+ 1 => "#\\\\[\\\\abfnrtv\'\"?\n]#i",
//Hexadecimal Char Specs
2 => "#\\\\x[\da-fA-F]{2}#",
//Hexadecimal Char Specs
@@ -185,4 +185,4 @@ $language_data = array (
'TAB_WIDTH' => 4
);
-?>
+?> \ No newline at end of file
diff --git a/inc/geshi/c_mac.php b/inc/geshi/c_mac.php
index 3478fba86..46a3600fe 100644
--- a/inc/geshi/c_mac.php
+++ b/inc/geshi/c_mac.php
@@ -4,7 +4,7 @@
* ---------
* Author: M. Uli Kusterer (witness.of.teachtext@gmx.net)
* Copyright: (c) 2004 M. Uli Kusterer, Nigel McNie (http://qbnz.com/highlighter/)
- * Release Version: 1.0.8.3
+ * Release Version: 1.0.8.4
* Date Started: 2004/06/04
*
* C for Macs language file for GeSHi.
@@ -54,7 +54,7 @@ $language_data = array (
'ESCAPE_CHAR' => '',
'ESCAPE_REGEXP' => array(
//Simple Single Char Escapes
- 1 => "#\\\\[abfnrtv\\'\"?\n]#i",
+ 1 => "#\\\\[\\\\abfnrtv\'\"?\n]#i",
//Hexadecimal Char Specs
2 => "#\\\\x[\da-fA-F]{2}#",
//Hexadecimal Char Specs
diff --git a/inc/geshi/caddcl.php b/inc/geshi/caddcl.php
index 69d19dcd6..12e1d3b75 100644
--- a/inc/geshi/caddcl.php
+++ b/inc/geshi/caddcl.php
@@ -4,7 +4,7 @@
* ----------
* Author: Roberto Rossi (rsoftware@altervista.org)
* Copyright: (c) 2004 Roberto Rossi (http://rsoftware.altervista.org), Nigel McNie (http://qbnz.com/highlighter)
- * Release Version: 1.0.8.3
+ * Release Version: 1.0.8.4
* Date Started: 2004/08/30
*
* CAD DCL (Dialog Control Language) language file for GeSHi.
diff --git a/inc/geshi/cadlisp.php b/inc/geshi/cadlisp.php
index 986584030..c537370d5 100644
--- a/inc/geshi/cadlisp.php
+++ b/inc/geshi/cadlisp.php
@@ -4,7 +4,7 @@
* -----------
* Author: Roberto Rossi (rsoftware@altervista.org)
* Copyright: (c) 2004 Roberto Rossi (http://rsoftware.altervista.org), Nigel McNie (http://qbnz.com/blog)
- * Release Version: 1.0.8.3
+ * Release Version: 1.0.8.4
* Date Started: 2004/08/30
*
* AutoCAD/IntelliCAD Lisp language file for GeSHi.
diff --git a/inc/geshi/cfdg.php b/inc/geshi/cfdg.php
index fc097ca6f..5091ffa4c 100644
--- a/inc/geshi/cfdg.php
+++ b/inc/geshi/cfdg.php
@@ -4,7 +4,7 @@
* --------
* Author: John Horigan <john@glyphic.com>
* Copyright: (c) 2006 John Horigan http://www.ozonehouse.com/john/
- * Release Version: 1.0.8.3
+ * Release Version: 1.0.8.4
* Date Started: 2006/03/11
*
* CFDG language file for GeSHi.
diff --git a/inc/geshi/cfm.php b/inc/geshi/cfm.php
index e900f46d4..ac81695ab 100644
--- a/inc/geshi/cfm.php
+++ b/inc/geshi/cfm.php
@@ -4,7 +4,7 @@
* -------
* Author: Diego
* Copyright: (c) 2006 Diego
- * Release Version: 1.0.8.3
+ * Release Version: 1.0.8.4
* Date Started: 2006/02/25
*
* ColdFusion language file for GeSHi.
@@ -296,4 +296,4 @@ $language_data = array (
)
);
-?>
+?> \ No newline at end of file
diff --git a/inc/geshi/cil.php b/inc/geshi/cil.php
index 41777d6f3..994f86316 100644
--- a/inc/geshi/cil.php
+++ b/inc/geshi/cil.php
@@ -4,7 +4,7 @@
* --------
* Author: Marcus Griep (neoeinstein+GeSHi@gmail.com)
* Copyright: (c) 2007 Marcus Griep (http://www.xpdm.us)
- * Release Version: 1.0.8.3
+ * Release Version: 1.0.8.4
* Date Started: 2007/10/24
*
* CIL (Common Intermediate Language) language file for GeSHi.
diff --git a/inc/geshi/cobol.php b/inc/geshi/cobol.php
index 71f9828a0..9adae16e3 100644
--- a/inc/geshi/cobol.php
+++ b/inc/geshi/cobol.php
@@ -4,7 +4,7 @@
* ----------
* Author: BenBE (BenBE@omorphia.org)
* Copyright: (c) 2007-2008 BenBE (http://www.omorphia.de/)
- * Release Version: 1.0.8.3
+ * Release Version: 1.0.8.4
* Date Started: 2007/07/02
*
* COBOL language file for GeSHi.
diff --git a/inc/geshi/cpp-qt.php b/inc/geshi/cpp-qt.php
index 79ec3c61c..43105de3d 100644
--- a/inc/geshi/cpp-qt.php
+++ b/inc/geshi/cpp-qt.php
@@ -4,7 +4,7 @@
* -------
* Author: Iulian M
* Copyright: (c) 2006 Iulian M
- * Release Version: 1.0.8.3
+ * Release Version: 1.0.8.4
* Date Started: 2004/09/27
*
* C++ (with QT extensions) language file for GeSHi.
@@ -52,7 +52,7 @@ $language_data = array (
'ESCAPE_CHAR' => '',
'ESCAPE_REGEXP' => array(
//Simple Single Char Escapes
- 1 => "#\\\\[abfnrtv\\'\"?\n]#i",
+ 1 => "#\\\\[\\\\abfnrtv\'\"?\n]#i",
//Hexadecimal Char Specs
2 => "#\\\\x[\da-fA-F]{2}#",
//Hexadecimal Char Specs
diff --git a/inc/geshi/cpp.php b/inc/geshi/cpp.php
index 28b585d3c..264ef638d 100644
--- a/inc/geshi/cpp.php
+++ b/inc/geshi/cpp.php
@@ -7,7 +7,7 @@
* - M. Uli Kusterer (witness.of.teachtext@gmx.net)
* - Jack Lloyd (lloyd@randombit.net)
* Copyright: (c) 2004 Dennis Bayer, Nigel McNie (http://qbnz.com/highlighter)
- * Release Version: 1.0.8.3
+ * Release Version: 1.0.8.4
* Date Started: 2004/09/27
*
* C++ language file for GeSHi.
@@ -63,7 +63,7 @@ $language_data = array (
'ESCAPE_CHAR' => '',
'ESCAPE_REGEXP' => array(
//Simple Single Char Escapes
- 1 => "#\\\\[abfnrtv\\'\"?\n]#i",
+ 1 => "#\\\\[\\\\abfnrtv\'\"?\n]#i",
//Hexadecimal Char Specs
2 => "#\\\\x[\da-fA-F]{2}#",
//Hexadecimal Char Specs
diff --git a/inc/geshi/csharp.php b/inc/geshi/csharp.php
index 2d79ee212..0f8a5e2a3 100644
--- a/inc/geshi/csharp.php
+++ b/inc/geshi/csharp.php
@@ -4,7 +4,7 @@
* ----------
* Author: Alan Juden (alan@judenware.org)
* Copyright: (c) 2004 Alan Juden, Nigel McNie (http://qbnz.com/highlighter/)
- * Release Version: 1.0.8.3
+ * Release Version: 1.0.8.4
* Date Started: 2004/06/04
*
* C# language file for GeSHi.
@@ -52,7 +52,8 @@ $language_data = array (
'CASE_KEYWORDS' => GESHI_CAPS_NO_CHANGE,
'QUOTEMARKS' => array("'", '"'),
'HARDQUOTE' => array('@"', '"'),
- 'HARDESCAPE' => array('""'),
+ 'HARDESCAPE' => array('"'),
+ 'HARDCHAR' => '"',
'ESCAPE_CHAR' => '\\',
'KEYWORDS' => array(
1 => array(
@@ -246,4 +247,4 @@ $language_data = array (
)
);
-?>
+?> \ No newline at end of file
diff --git a/inc/geshi/css.php b/inc/geshi/css.php
index 00803255b..f6386bdc0 100644
--- a/inc/geshi/css.php
+++ b/inc/geshi/css.php
@@ -4,7 +4,7 @@
* -------
* Author: Nigel McNie (nigel@geshi.org)
* Copyright: (c) 2004 Nigel McNie (http://qbnz.com/highlighter/)
- * Release Version: 1.0.8.3
+ * Release Version: 1.0.8.4
* Date Started: 2004/06/18
*
* CSS language file for GeSHi.
diff --git a/inc/geshi/d.php b/inc/geshi/d.php
index 9711a6e38..691091809 100644
--- a/inc/geshi/d.php
+++ b/inc/geshi/d.php
@@ -4,7 +4,7 @@
* -----
* Author: Thomas Kuehne (thomas@kuehne.cn)
* Copyright: (c) 2005 Thomas Kuehne (http://thomas.kuehne.cn/)
- * Release Version: 1.0.8.3
+ * Release Version: 1.0.8.4
* Date Started: 2005/04/22
*
* D language file for GeSHi.
diff --git a/inc/geshi/dcs.php b/inc/geshi/dcs.php
index b9fe5814a..0f6bad372 100644
--- a/inc/geshi/dcs.php
+++ b/inc/geshi/dcs.php
@@ -4,7 +4,7 @@
* ---------------------------------
* Author: Stelio Passaris (GeSHi@stelio.net)
* Copyright: (c) 2009 Stelio Passaris (http://stelio.net/stiki/GeSHi)
- * Release Version: 1.0.8.3
+ * Release Version: 1.0.8.4
* Date Started: 2009/01/20
*
* DCS language file for GeSHi.
diff --git a/inc/geshi/delphi.php b/inc/geshi/delphi.php
index 7de1f8c1e..9d7ad7e7d 100644
--- a/inc/geshi/delphi.php
+++ b/inc/geshi/delphi.php
@@ -4,7 +4,7 @@
* ----------
* Author: J�rja Norbert (jnorbi@vipmail.hu), Benny Baumann (BenBE@omorphia.de)
* Copyright: (c) 2004 J�rja Norbert, Benny Baumann (BenBE@omorphia.de), Nigel McNie (http://qbnz.com/highlighter)
- * Release Version: 1.0.8.3
+ * Release Version: 1.0.8.4
* Date Started: 2004/07/26
*
* Delphi (Object Pascal) language file for GeSHi.
@@ -50,7 +50,7 @@ $language_data = array (
'COMMENT_SINGLE' => array(1 => '//'),
'COMMENT_MULTI' => array('(*' => '*)', '{' => '}'),
//Compiler directives
- 'COMMENT_REGEXP' => array(2 => '/{\\$.*?}|\\(\\*\\$.*?\\*\\)/U'),
+ 'COMMENT_REGEXP' => array(2 => '/\\{\\$.*?}|\\(\\*\\$.*?\\*\\)/U'),
'CASE_KEYWORDS' => 0,
'QUOTEMARKS' => array("'"),
'ESCAPE_CHAR' => '',
@@ -276,7 +276,7 @@ $language_data = array (
//Hex numbers
0 => '\$[0-9a-fA-F]+',
//Characters
- 1 => '\#\$?[0-9]{1,3}'
+ 1 => '\#(?:\$[0-9a-fA-F]{1,2}|\d{1,3})'
),
'STRICT_MODE_APPLIES' => GESHI_NEVER,
'SCRIPT_DELIMITERS' => array(
@@ -286,4 +286,4 @@ $language_data = array (
'TAB_WIDTH' => 2
);
-?>
+?> \ No newline at end of file
diff --git a/inc/geshi/diff.php b/inc/geshi/diff.php
index c82e65c65..b4703c1d2 100644
--- a/inc/geshi/diff.php
+++ b/inc/geshi/diff.php
@@ -4,7 +4,7 @@
* --------
* Author: Conny Brunnkvist (conny@fuchsia.se), W. Tasin (tasin@fhm.edu)
* Copyright: (c) 2004 Fuchsia Open Source Solutions (http://www.fuchsia.se/)
- * Release Version: 1.0.8.3
+ * Release Version: 1.0.8.4
* Date Started: 2004/12/29
*
* Diff-output language file for GeSHi.
diff --git a/inc/geshi/div.php b/inc/geshi/div.php
index d3d506d3d..0e249740c 100644
--- a/inc/geshi/div.php
+++ b/inc/geshi/div.php
@@ -4,7 +4,7 @@
* ---------------------------------
* Author: Gabriel Lorenzo (ermakina@gmail.com)
* Copyright: (c) 2005 Gabriel Lorenzo (http://ermakina.gazpachito.net)
- * Release Version: 1.0.8.3
+ * Release Version: 1.0.8.4
* Date Started: 2005/06/19
*
* DIV language file for GeSHi.
diff --git a/inc/geshi/dos.php b/inc/geshi/dos.php
index af8fdaee2..bec3de129 100644
--- a/inc/geshi/dos.php
+++ b/inc/geshi/dos.php
@@ -4,7 +4,7 @@
* -------
* Author: Alessandro Staltari (staltari@geocities.com)
* Copyright: (c) 2005 Alessandro Staltari (http://www.geocities.com/SiliconValley/Vista/8155/)
- * Release Version: 1.0.8.3
+ * Release Version: 1.0.8.4
* Date Started: 2005/07/05
*
* DOS language file for GeSHi.
diff --git a/inc/geshi/dot.php b/inc/geshi/dot.php
index 35d3d9b6b..c45a74af7 100644
--- a/inc/geshi/dot.php
+++ b/inc/geshi/dot.php
@@ -4,7 +4,7 @@
* ---------------------------------
* Author: Adrien Friggeri (adrien@friggeri.net)
* Copyright: (c) 2007 Adrien Friggeri (http://www.friggeri.net)
- * Release Version: 1.0.8.3
+ * Release Version: 1.0.8.4
* Date Started: 2007/05/30
*
* dot language file for GeSHi.
diff --git a/inc/geshi/eiffel.php b/inc/geshi/eiffel.php
index 7a9a61e48..ab7abf974 100644
--- a/inc/geshi/eiffel.php
+++ b/inc/geshi/eiffel.php
@@ -4,7 +4,7 @@
* ----------
* Author: Zoran Simic (zsimic@axarosenberg.com)
* Copyright: (c) 2005 Zoran Simic
- * Release Version: 1.0.8.3
+ * Release Version: 1.0.8.4
* Date Started: 2005/06/30
*
* Eiffel language file for GeSHi.
diff --git a/inc/geshi/email.php b/inc/geshi/email.php
index 26466dc43..a0a744c6d 100644
--- a/inc/geshi/email.php
+++ b/inc/geshi/email.php
@@ -4,7 +4,7 @@
* ---------------
* Author: Benny Baumann (BenBE@geshi.org)
* Copyright: (c) 2008 Benny Baumann (http://qbnz.com/highlighter/)
- * Release Version: 1.0.8.3
+ * Release Version: 1.0.8.4
* Date Started: 2008/10/19
*
* Email (mbox \ eml \ RFC format) language file for GeSHi.
@@ -177,7 +177,7 @@ $language_data = array (
),
'STRICT_MODE_APPLIES' => GESHI_ALWAYS,
'SCRIPT_DELIMITERS' => array(
- 0 => "/(^)[A-Z][a-zA-Z0-9\-]*\s*:\s*(?:.|(?=\n\s)\n)*($)/m"
+ 0 => "/(?<start>^)[A-Z][a-zA-Z0-9\-]*\s*:\s*(?:.|(?=\n\s)\n)*(?<end>$)/m"
),
'HIGHLIGHT_STRICT_BLOCK' => array(
0 => true,
@@ -206,4 +206,4 @@ $language_data = array (
)
);
-?>
+?> \ No newline at end of file
diff --git a/inc/geshi/fortran.php b/inc/geshi/fortran.php
index 1caf09d3c..26dc9b19d 100644
--- a/inc/geshi/fortran.php
+++ b/inc/geshi/fortran.php
@@ -4,7 +4,7 @@
* -----------
* Author: Cedric Arrabie (cedric.arrabie@univ-pau.fr)
* Copyright: (C) 2006 Cetric Arrabie
- * Release Version: 1.0.8.3
+ * Release Version: 1.0.8.4
* Date Started: 2006/04/22
*
* Fortran language file for GeSHi.
diff --git a/inc/geshi/freebasic.php b/inc/geshi/freebasic.php
index 0ddc46cb8..780305ba3 100644
--- a/inc/geshi/freebasic.php
+++ b/inc/geshi/freebasic.php
@@ -4,7 +4,7 @@
* -------------
* Author: Roberto Rossi
* Copyright: (c) 2005 Roberto Rossi (http://rsoftware.altervista.org)
- * Release Version: 1.0.8.3
+ * Release Version: 1.0.8.4
* Date Started: 2005/08/19
*
* FreeBasic (http://www.freebasic.net/) language file for GeSHi.
diff --git a/inc/geshi/genero.php b/inc/geshi/genero.php
index 997e21f44..1e3d7efb6 100644
--- a/inc/geshi/genero.php
+++ b/inc/geshi/genero.php
@@ -4,7 +4,7 @@
* ----------
* Author: Lars Gersmann (lars.gersmann@gmail.com)
* Copyright: (c) 2007 Lars Gersmann, Nigel McNie (http://qbnz.com/highlighter/)
- * Release Version: 1.0.8.3
+ * Release Version: 1.0.8.4
* Date Started: 2007/07/01
*
* Genero (FOURJ's Genero 4GL) language file for GeSHi.
diff --git a/inc/geshi/gettext.php b/inc/geshi/gettext.php
index 78e8bff76..a1dcd8c8a 100644
--- a/inc/geshi/gettext.php
+++ b/inc/geshi/gettext.php
@@ -4,7 +4,7 @@
* --------
* Author: Milian Wolff (mail@milianw.de)
* Copyright: (c) 2008 Milian Wolff
- * Release Version: 1.0.8.3
+ * Release Version: 1.0.8.4
* Date Started: 2008/05/25
*
* GNU Gettext .po/.pot language file for GeSHi.
diff --git a/inc/geshi/glsl.php b/inc/geshi/glsl.php
index 1f10cf852..9b31fa482 100644
--- a/inc/geshi/glsl.php
+++ b/inc/geshi/glsl.php
@@ -4,7 +4,7 @@
* -----
* Author: Benny Baumann (BenBE@omorphia.de)
* Copyright: (c) 2008 Benny Baumann (BenBE@omorphia.de)
- * Release Version: 1.0.8.3
+ * Release Version: 1.0.8.4
* Date Started: 2008/03/20
*
* glSlang language file for GeSHi.
diff --git a/inc/geshi/gml.php b/inc/geshi/gml.php
index 77966bc1b..da3d3a8e8 100644
--- a/inc/geshi/gml.php
+++ b/inc/geshi/gml.php
@@ -4,7 +4,7 @@
* --------
* Author: Jos� Jorge Enr�quez (jenriquez@users.sourceforge.net)
* Copyright: (c) 2005 Jos� Jorge Enr�quez Rodr�guez (http://www.zonamakers.com)
- * Release Version: 1.0.8.3
+ * Release Version: 1.0.8.4
* Date Started: 2005/06/21
*
* GML language file for GeSHi.
diff --git a/inc/geshi/gnuplot.php b/inc/geshi/gnuplot.php
index 3b67fb6fa..863d0dbd6 100644
--- a/inc/geshi/gnuplot.php
+++ b/inc/geshi/gnuplot.php
@@ -4,7 +4,7 @@
* ----------
* Author: Milian Wolff (mail@milianw.de)
* Copyright: (c) 2008 Milian Wolff (http://milianw.de)
- * Release Version: 1.0.8.3
+ * Release Version: 1.0.8.4
* Date Started: 2008/07/07
*
* Gnuplot script language file for GeSHi.
@@ -216,7 +216,7 @@ $language_data = array (
),
'REGEXPS' => array(
//Variable assignment
- 0 => "([a-zA-Z_][a-zA-Z0-9_]*)\s*=",
+ 0 => "(?<![?;>\w])([a-zA-Z_][a-zA-Z0-9_]*)\s*=",
//Numbers with unit
1 => "(?<=^|\s)([0-9]*\.?[0-9]+\s*cm)"
),
@@ -293,4 +293,4 @@ $language_data = array (
'TAB_WIDTH' => 4
);
-?>
+?> \ No newline at end of file
diff --git a/inc/geshi/groovy.php b/inc/geshi/groovy.php
index 332f163c6..7db153c75 100644
--- a/inc/geshi/groovy.php
+++ b/inc/geshi/groovy.php
@@ -4,7 +4,7 @@
* ----------
* Author: Ivan F. Villanueva B. (geshi_groovy@artificialidea.com)
* Copyright: (c) 2006 Ivan F. Villanueva B.(http://www.artificialidea.com)
- * Release Version: 1.0.8.3
+ * Release Version: 1.0.8.4
* Date Started: 2006/04/29
*
* Groovy language file for GeSHi.
diff --git a/inc/geshi/haskell.php b/inc/geshi/haskell.php
index a6841ddc5..f0e570f1c 100644
--- a/inc/geshi/haskell.php
+++ b/inc/geshi/haskell.php
@@ -4,7 +4,7 @@
* ----------
* Author: Jason Dagit (dagit@codersbase.com) based on ocaml.php by Flaie (fireflaie@gmail.com)
* Copyright: (c) 2005 Flaie, Nigel McNie (http://qbnz.com/highlighter)
- * Release Version: 1.0.8.3
+ * Release Version: 1.0.8.4
* Date Started: 2005/08/27
*
* Haskell language file for GeSHi.
diff --git a/inc/geshi/hq9plus.php b/inc/geshi/hq9plus.php
index 89e043432..2a5c429ca 100644
--- a/inc/geshi/hq9plus.php
+++ b/inc/geshi/hq9plus.php
@@ -4,7 +4,7 @@
* ----------
* Author: Benny Baumann (BenBE@geshi.org)
* Copyright: (c) 2008 Benny Baumann (http://qbnz.com/highlighter/)
- * Release Version: 1.0.8.3
+ * Release Version: 1.0.8.4
* Date Started: 2009/10/31
*
* HQ9+ language file for GeSHi.
diff --git a/inc/geshi/html4strict.php b/inc/geshi/html4strict.php
index 68a0e5173..314351523 100644
--- a/inc/geshi/html4strict.php
+++ b/inc/geshi/html4strict.php
@@ -4,7 +4,7 @@
* ---------------
* Author: Nigel McNie (nigel@geshi.org)
* Copyright: (c) 2004 Nigel McNie (http://qbnz.com/highlighter/)
- * Release Version: 1.0.8.3
+ * Release Version: 1.0.8.4
* Date Started: 2004/07/10
*
* HTML 4.01 strict language file for GeSHi.
diff --git a/inc/geshi/idl.php b/inc/geshi/idl.php
index a641554de..9160fd150 100644
--- a/inc/geshi/idl.php
+++ b/inc/geshi/idl.php
@@ -4,7 +4,7 @@
* -------
* Author: Cedric Bosdonnat (cedricbosdo@openoffice.org)
* Copyright: (c) 2006 Cedric Bosdonnat
- * Release Version: 1.0.8.3
+ * Release Version: 1.0.8.4
* Date Started: 2006/08/20
*
* Unoidl language file for GeSHi.
diff --git a/inc/geshi/ini.php b/inc/geshi/ini.php
index b6e3a3899..365b4d6f8 100644
--- a/inc/geshi/ini.php
+++ b/inc/geshi/ini.php
@@ -4,7 +4,7 @@
* --------
* Author: deguix (cevo_deguix@yahoo.com.br)
* Copyright: (c) 2005 deguix
- * Release Version: 1.0.8.3
+ * Release Version: 1.0.8.4
* Date Started: 2005/03/27
*
* INI language file for GeSHi.
diff --git a/inc/geshi/inno.php b/inc/geshi/inno.php
index 5cead102a..99563514d 100644
--- a/inc/geshi/inno.php
+++ b/inc/geshi/inno.php
@@ -4,7 +4,7 @@
* ----------
* Author: Thomas Klingler (hotline@theratech.de) based on delphi.php from J�rja Norbert (jnorbi@vipmail.hu)
* Copyright: (c) 2004 J�rja Norbert, Nigel McNie (http://qbnz.com/highlighter)
- * Release Version: 1.0.8.3
+ * Release Version: 1.0.8.4
* Date Started: 2005/07/29
*
* Inno Script language inkl. Delphi (Object Pascal) language file for GeSHi.
diff --git a/inc/geshi/intercal.php b/inc/geshi/intercal.php
index b4ad049fe..a58960089 100644
--- a/inc/geshi/intercal.php
+++ b/inc/geshi/intercal.php
@@ -4,7 +4,7 @@
* ----------
* Author: Benny Baumann (BenBE@geshi.org)
* Copyright: (c) 2008 Benny Baumann (http://qbnz.com/highlighter/)
- * Release Version: 1.0.8.3
+ * Release Version: 1.0.8.4
* Date Started: 2009/10/31
*
* INTERCAL language file for GeSHi.
diff --git a/inc/geshi/io.php b/inc/geshi/io.php
index e9117abf4..7ec53a881 100644
--- a/inc/geshi/io.php
+++ b/inc/geshi/io.php
@@ -4,7 +4,7 @@
* -------
* Author: Nigel McNie (nigel@geshi.org)
* Copyright: (c) 2006 Nigel McNie (http://qbnz.com/highlighter/)
- * Release Version: 1.0.8.3
+ * Release Version: 1.0.8.4
* Date Started: 2006/09/23
*
* Io language file for GeSHi. Thanks to Johnathan Wright for the suggestion and help
diff --git a/inc/geshi/java.php b/inc/geshi/java.php
index 7e5dc08c6..d8a2d5e4d 100644
--- a/inc/geshi/java.php
+++ b/inc/geshi/java.php
@@ -4,7 +4,7 @@
* --------
* Author: Nigel McNie (nigel@geshi.org)
* Copyright: (c) 2004 Nigel McNie (http://qbnz.com/highlighter/)
- * Release Version: 1.0.8.3
+ * Release Version: 1.0.8.4
* Date Started: 2004/07/10
*
* Java language file for GeSHi.
diff --git a/inc/geshi/java5.php b/inc/geshi/java5.php
index 1766ef954..34696d760 100644
--- a/inc/geshi/java5.php
+++ b/inc/geshi/java5.php
@@ -4,7 +4,7 @@
* --------
* Author: Nigel McNie (nigel@geshi.org)
* Copyright: (c) 2004 Nigel McNie (http://qbnz.com/highlighter/)
- * Release Version: 1.0.8.3
+ * Release Version: 1.0.8.4
* Date Started: 2004/07/10
*
* Java language file for GeSHi.
diff --git a/inc/geshi/javascript.php b/inc/geshi/javascript.php
index 1232a8aae..4ffef2b2e 100644
--- a/inc/geshi/javascript.php
+++ b/inc/geshi/javascript.php
@@ -4,7 +4,7 @@
* --------------
* Author: Ben Keen (ben.keen@gmail.com)
* Copyright: (c) 2004 Ben Keen (ben.keen@gmail.com), Nigel McNie (http://qbnz.com/highlighter)
- * Release Version: 1.0.8.3
+ * Release Version: 1.0.8.4
* Date Started: 2004/06/20
*
* JavaScript language file for GeSHi.
diff --git a/inc/geshi/kixtart.php b/inc/geshi/kixtart.php
index 3b4dc4c69..bdffd5875 100644
--- a/inc/geshi/kixtart.php
+++ b/inc/geshi/kixtart.php
@@ -4,7 +4,7 @@
* --------
* Author: Riley McArdle (riley@glyff.net)
* Copyright: (c) 2007 Riley McArdle (http://www.glyff.net/)
- * Release Version: 1.0.8.3
+ * Release Version: 1.0.8.4
* Date Started: 2007/08/31
*
* PHP language file for GeSHi.
diff --git a/inc/geshi/klonec.php b/inc/geshi/klonec.php
index 599f56b29..03d64a166 100644
--- a/inc/geshi/klonec.php
+++ b/inc/geshi/klonec.php
@@ -4,7 +4,7 @@
* --------
* Author: AUGER Mickael
* Copyright: Synchronic
- * Release Version: 1.0.8.3
+ * Release Version: 1.0.8.4
* Date Started: 2008/04/16
*
* KLone with C language file for GeSHi.
diff --git a/inc/geshi/klonecpp.php b/inc/geshi/klonecpp.php
index 7be4f40e5..fd2d53864 100644
--- a/inc/geshi/klonecpp.php
+++ b/inc/geshi/klonecpp.php
@@ -4,7 +4,7 @@
* --------
* Author: AUGER Mickael
* Copyright: Synchronic
- * Release Version: 1.0.8.3
+ * Release Version: 1.0.8.4
* Date Started: 2008/04/16
*
* KLone with C++ language file for GeSHi.
diff --git a/inc/geshi/latex.php b/inc/geshi/latex.php
index e4926d956..bad2c1861 100644
--- a/inc/geshi/latex.php
+++ b/inc/geshi/latex.php
@@ -4,7 +4,7 @@
* -----
* Author: efi, Matthias Pospiech (matthias@pospiech.eu)
* Copyright: (c) 2006 efi, Matthias Pospiech (matthias@pospiech.eu), Nigel McNie (http://qbnz.com/highlighter)
- * Release Version: 1.0.8.3
+ * Release Version: 1.0.8.4
* Date Started: 2006/09/23
*
* LaTeX language file for GeSHi.
@@ -61,13 +61,22 @@ $language_data = array (
'ESCAPE_CHAR' => '',
'KEYWORDS' => array(
1 => array(
- 'appendix','backmatter','caption','captionabove','captionbelow',
- 'def','documentclass','edef','equation','flushleft','flushright',
- 'footnote','frontmatter','hline','include','input','item','label',
- 'let','listfiles','listoffigures','listoftables','mainmatter',
- 'makeatletter','makeatother','makebox','mbox','par','raggedleft',
- 'raggedright','raisebox','ref','rule','table','tableofcontents',
- 'textbf','textit','texttt','today'
+ 'addlinespace','address','appendix','author','backmatter',
+ 'bfseries','bibitem','bigskip','blindtext','caption','captionabove',
+ 'captionbelow','cdot','centering','cite','color','colorbox','date',
+ 'def','definecolor','documentclass','edef','eqref','else','email','emph','fbox',
+ 'fi','flushleft','flushright','footnote','frac','frontmatter','graphicspath','hfill',
+ 'hline','hspace','huge','include','includegraphics','infty','input','int','ifx',
+ 'item','label','LaTeX','left','let','limits','listfiles','listoffigures',
+ 'listoftables','mainmatter','makeatletter','makeatother','makebox',
+ 'makeindex','maketitle','mbox','mediumskip','newcommand',
+ 'newenvironment','newpage','nocite','nonumber','pagestyle','par','paragraph','parbox',
+ 'parident','parskip','partial','raggedleft','raggedright','raisebox','ref',
+ 'renewcommand','renewenvironment','right','rule','section','setlength',
+ 'sffamily','subparagraph','subsection','subsubsection','sum','table',
+ 'tableofcontents','textbf','textcolor','textit','textnormal',
+ 'textsuperscript','texttt','title','today','ttfamily','urlstyle',
+ 'usepackage','vspace'
)
),
'SYMBOLS' => array(
@@ -79,7 +88,7 @@ $language_data = array (
),
'STYLES' => array(
'KEYWORDS' => array(
- 1 => 'color: #800000; font-weight: bold;',
+ 1 => 'color: #800000;',
),
'COMMENTS' => array(
1 => 'color: #2C922C; font-style: italic;'
@@ -117,7 +126,7 @@ $language_data = array (
)
),
'URLS' => array(
- 1 => 'http://www.golatex.de/wiki/index.php?title=\\{FNAME}',
+ 1 => 'http://www.golatex.de/wiki/index.php?title=%5C{FNAME}',
),
'OOLANG' => false,
'OBJECT_SPLITTERS' => array(
@@ -197,7 +206,7 @@ $language_data = array (
),
'KEYWORDS' => array(
'DISALLOWED_BEFORE' => "(?<=\\\\)",
- 'DISALLOWED_AFTER' => "(?=\b)(?!\w)"
+ 'DISALLOWED_AFTER' => "(?![A-Za-z0-9])"
),
'ENABLE_FLAGS' => array(
'NUMBERS' => GESHI_NEVER,
diff --git a/inc/geshi/lisp.php b/inc/geshi/lisp.php
index de08d9c2c..aa492058b 100644
--- a/inc/geshi/lisp.php
+++ b/inc/geshi/lisp.php
@@ -4,7 +4,7 @@
* --------
* Author: Roberto Rossi (rsoftware@altervista.org)
* Copyright: (c) 2004 Roberto Rossi (http://rsoftware.altervista.org), Nigel McNie (http://qbnz.com/highlighter
- * Release Version: 1.0.8.3
+ * Release Version: 1.0.8.4
* Date Started: 2004/08/30
*
* Generic Lisp language file for GeSHi.
diff --git a/inc/geshi/locobasic.php b/inc/geshi/locobasic.php
index 02e6a7a56..a799d6900 100644
--- a/inc/geshi/locobasic.php
+++ b/inc/geshi/locobasic.php
@@ -4,7 +4,7 @@
* -------------
* Author: Nacho Cabanes
* Copyright: (c) 2009 Nacho Cabanes (http://www.nachocabanes.com)
- * Release Version: 1.0.8.3
+ * Release Version: 1.0.8.4
* Date Started: 2009/03/22
*
* Locomotive Basic (Amstrad CPC series) language file for GeSHi.
diff --git a/inc/geshi/lolcode.php b/inc/geshi/lolcode.php
index 19b42f566..fc60e3e9f 100644
--- a/inc/geshi/lolcode.php
+++ b/inc/geshi/lolcode.php
@@ -4,7 +4,7 @@
* ----------
* Author: Benny Baumann (BenBE@geshi.org)
* Copyright: (c) 2008 Benny Baumann (http://qbnz.com/highlighter/)
- * Release Version: 1.0.8.3
+ * Release Version: 1.0.8.4
* Date Started: 2009/10/31
*
* LOLcode language file for GeSHi.
diff --git a/inc/geshi/lotusformulas.php b/inc/geshi/lotusformulas.php
index 010fb226c..e82d6bf3d 100644
--- a/inc/geshi/lotusformulas.php
+++ b/inc/geshi/lotusformulas.php
@@ -4,7 +4,7 @@
* ------------------------
* Author: Richard Civil (info@richardcivil.net)
* Copyright: (c) 2008 Richard Civil (info@richardcivil.net), Nigel McNie (http://qbnz.com/highlighter)
- * Release Version: 1.0.8.3
+ * Release Version: 1.0.8.4
* Date Started: 2008/04/12
*
* @Formula/@Command language file for GeSHi.
diff --git a/inc/geshi/lotusscript.php b/inc/geshi/lotusscript.php
index 598da3b86..5272377b1 100644
--- a/inc/geshi/lotusscript.php
+++ b/inc/geshi/lotusscript.php
@@ -4,7 +4,7 @@
* ------------------------
* Author: Richard Civil (info@richardcivil.net)
* Copyright: (c) 2008 Richard Civil (info@richardcivil.net), Nigel McNie (http://qbnz.com/highlighter)
- * Release Version: 1.0.8.3
+ * Release Version: 1.0.8.4
* Date Started: 2008/04/12
*
* LotusScript language file for GeSHi.
diff --git a/inc/geshi/lscript.php b/inc/geshi/lscript.php
index 57bb2ba16..1a5b0726e 100644
--- a/inc/geshi/lscript.php
+++ b/inc/geshi/lscript.php
@@ -4,7 +4,7 @@
* ---------
* Author: Arendedwinter (admin@arendedwinter.com)
* Copyright: (c) 2008 Beau McGuigan (http://www.arendedwinter.com)
- * Release Version: 1.0.8.3
+ * Release Version: 1.0.8.4
* Date Started: 15/11/2008
*
* Lightwave Script language file for GeSHi.
diff --git a/inc/geshi/lsl2.php b/inc/geshi/lsl2.php
index 27c558038..0b62ee8b7 100644
--- a/inc/geshi/lsl2.php
+++ b/inc/geshi/lsl2.php
@@ -4,7 +4,7 @@
* --------
* Author: William Fry (william.fry@nyu.edu)
* Copyright: (c) 2009 William Fry
- * Release Version: 1.0.8.3
+ * Release Version: 1.0.8.4
* Date Started: 2009/02/04
*
* Linden Scripting Language (LSL2) language file for GeSHi.
diff --git a/inc/geshi/lua.php b/inc/geshi/lua.php
index 58ed30aac..f85086bb2 100644
--- a/inc/geshi/lua.php
+++ b/inc/geshi/lua.php
@@ -4,7 +4,7 @@
* -------
* Author: Roberto Rossi (rsoftware@altervista.org)
* Copyright: (c) 2004 Roberto Rossi (http://rsoftware.altervista.org), Nigel McNie (http://qbnz.com/highlighter)
- * Release Version: 1.0.8.3
+ * Release Version: 1.0.8.4
* Date Started: 2004/07/10
*
* LUA language file for GeSHi.
diff --git a/inc/geshi/m68k.php b/inc/geshi/m68k.php
index 9c16d7d1a..cc5807c6f 100644
--- a/inc/geshi/m68k.php
+++ b/inc/geshi/m68k.php
@@ -4,7 +4,7 @@
* --------
* Author: Benny Baumann (BenBE@omorphia.de)
* Copyright: (c) 2007 Benny Baumann (http://www.omorphia.de/), Nigel McNie (http://qbnz.com/highlighter)
- * Release Version: 1.0.8.3
+ * Release Version: 1.0.8.4
* Date Started: 2007/02/06
*
* Motorola 68000 Assembler language file for GeSHi.
diff --git a/inc/geshi/make.php b/inc/geshi/make.php
index b15f459e2..f01209ebf 100644
--- a/inc/geshi/make.php
+++ b/inc/geshi/make.php
@@ -4,7 +4,7 @@
* --------
* Author: Neil Bird <phoenix@fnxweb.com>
* Copyright: (c) 2008 Neil Bird
- * Release Version: 1.0.8.3
+ * Release Version: 1.0.8.4
* Date Started: 2008/08/26
*
* make language file for GeSHi.
diff --git a/inc/geshi/matlab.php b/inc/geshi/matlab.php
index d3963ef80..f7b649573 100644
--- a/inc/geshi/matlab.php
+++ b/inc/geshi/matlab.php
@@ -4,7 +4,7 @@
* -----------
* Author: Florian Knorn (floz@gmx.de)
* Copyright: (c) 2004 Florian Knorn (http://www.florian-knorn.com)
- * Release Version: 1.0.8.3
+ * Release Version: 1.0.8.4
* Date Started: 2005/02/09
*
* Matlab M-file language file for GeSHi.
diff --git a/inc/geshi/mirc.php b/inc/geshi/mirc.php
index 1547ff4f5..bc773458c 100644
--- a/inc/geshi/mirc.php
+++ b/inc/geshi/mirc.php
@@ -4,7 +4,7 @@
* -----
* Author: Alberto 'Birckin' de Areba (Birckin@hotmail.com)
* Copyright: (c) 2006 Alberto de Areba
- * Release Version: 1.0.8.3
+ * Release Version: 1.0.8.4
* Date Started: 2006/05/29
*
* mIRC Scripting language file for GeSHi.
@@ -48,7 +48,7 @@ $language_data = array (
'alias', 'menu', 'dialog',
),
2 => array(
- 'if', 'elseif', 'else', 'while', 'return', 'goto','var'
+ 'if', 'elseif', 'else', 'while', 'return', 'goto', 'var'
),
3 => array(
'action','ajinvite','amsg','ame','anick','aop','auser',
@@ -76,7 +76,7 @@ $language_data = array (
)
),
'SYMBOLS' => array(
- '(', ')', '{', '}', '[', ']'
+ '(', ')', '{', '}', '[', ']', '/'
),
'CASE_SENSITIVE' => array(
GESHI_COMMENTS => false,
@@ -133,7 +133,7 @@ $language_data = array (
//Variable names
0 => '\$[a-zA-Z0-9]+',
//Variable names
- 1 => '(%|&amp;)[a-zA-Z0-9äöü]+',
+ 1 => '(%|&amp;)[\w\x80-\xFE]+',
//Client to Client Protocol handling
2 => '(on|ctcp) (!|@|&amp;)?(\d|\*):[a-zA-Z]+:',
/*4 => array(
@@ -149,9 +149,9 @@ $language_data = array (
//Raw protocol handling
5 => 'raw (\d|\*):',
//Timer handling
- 6 => '\/timer(?!s\b)[0-9a-zA-Z_]+',
+ 6 => '(?<!>|:|\/)\/timer(?!s\b)[0-9a-zA-Z_]+',
// /...
- 7 => '\/[a-zA-Z0-9]+'
+ 7 => '(?<!>|:|\/|\w)\/[a-zA-Z][a-zA-Z0-9]*(?!>)'
),
'STRICT_MODE_APPLIES' => GESHI_NEVER,
'SCRIPT_DELIMITERS' => array(
@@ -163,11 +163,9 @@ $language_data = array (
'NUMBERS' => GESHI_NEVER
),
'KEYWORDS' => array(
- 2 => array(
- 'DISALLOWED_BEFORE' => '(?<![a-zA-Z0-9\$_\|\#;>^&\/])'
- )
+ 'DISALLOWED_BEFORE' => '(?<![\w\$\|\#;<^&])'
)
)
);
-?>
+?> \ No newline at end of file
diff --git a/inc/geshi/modula3.php b/inc/geshi/modula3.php
index a136442a1..a1f04ca59 100644
--- a/inc/geshi/modula3.php
+++ b/inc/geshi/modula3.php
@@ -4,7 +4,7 @@
* ----------
* Author: mbishop (mbishop@esoteriq.org)
* Copyright: (c) 2009 mbishop (mbishop@esoteriq.org)
- * Release Version: 1.0.8.3
+ * Release Version: 1.0.8.4
* Date Started: 2009/01/21
*
* Modula-3 language file for GeSHi.
diff --git a/inc/geshi/mpasm.php b/inc/geshi/mpasm.php
index 30b192c34..53aa9e7a1 100644
--- a/inc/geshi/mpasm.php
+++ b/inc/geshi/mpasm.php
@@ -4,7 +4,7 @@
* ---------
* Author: Bakalex (bakalex@gmail.com)
* Copyright: (c) 2004 Bakalex, Nigel McNie (http://qbnz.com/highlighter)
- * Release Version: 1.0.8.3
+ * Release Version: 1.0.8.4
* Date Started: 2004/12/6
*
* Microchip Assembler language file for GeSHi.
diff --git a/inc/geshi/mxml.php b/inc/geshi/mxml.php
index 939632be3..d34a92531 100644
--- a/inc/geshi/mxml.php
+++ b/inc/geshi/mxml.php
@@ -4,7 +4,7 @@
* -------
* Author: David Spurr
* Copyright: (c) 2007 David Spurr (http://www.defusion.org.uk/)
- * Release Version: 1.0.8.3
+ * Release Version: 1.0.8.4
* Date Started: 2007/10/04
*
* MXML language file for GeSHi. Based on the XML file by Nigel McNie
diff --git a/inc/geshi/mysql.php b/inc/geshi/mysql.php
index 0017eef29..f41092c16 100644
--- a/inc/geshi/mysql.php
+++ b/inc/geshi/mysql.php
@@ -4,7 +4,7 @@
* ---------
* Author: Marjolein Katsma (marjolein.is.back@gmail.com)
* Copyright: (c) 2008 Marjolein Katsma (http://blog.marjoleinkatsma.com/)
- * Release Version: 1.0.8.3
+ * Release Version: 1.0.8.4
* Date Started: 2008-12-12
*
* MySQL language file for GeSHi.
diff --git a/inc/geshi/nsis.php b/inc/geshi/nsis.php
index 9f3e1ccca..63767b025 100644
--- a/inc/geshi/nsis.php
+++ b/inc/geshi/nsis.php
@@ -4,7 +4,7 @@
* --------
* Author: deguix (cevo_deguix@yahoo.com.br), Tux (http://tux.a4.cz/)
* Copyright: (c) 2005 deguix, 2004 Tux (http://tux.a4.cz/), Nigel McNie (http://qbnz.com/highlighter)
- * Release Version: 1.0.8.3
+ * Release Version: 1.0.8.4
* Date Started: 2005/12/03
*
* Nullsoft Scriptable Install System language file for GeSHi.
diff --git a/inc/geshi/oberon2.php b/inc/geshi/oberon2.php
index 3e528401f..4e4223f4f 100644
--- a/inc/geshi/oberon2.php
+++ b/inc/geshi/oberon2.php
@@ -4,7 +4,7 @@
* ----------
* Author: mbishop (mbishop@esoteriq.org)
* Copyright: (c) 2009 mbishop (mbishop@esoteriq.org)
- * Release Version: 1.0.8.3
+ * Release Version: 1.0.8.4
* Date Started: 2009/02/10
*
* Oberon-2 language file for GeSHi.
diff --git a/inc/geshi/objc.php b/inc/geshi/objc.php
index 668f14b8a..ec8d18e72 100644
--- a/inc/geshi/objc.php
+++ b/inc/geshi/objc.php
@@ -5,7 +5,7 @@
* Author: M. Uli Kusterer (witness.of.teachtext@gmx.net)
* Contributors: Quinn Taylor (quinntaylor@mac.com)
* Copyright: (c) 2008 Quinn Taylor, 2004 M. Uli Kusterer, Nigel McNie (http://qbnz.com/highlighter/)
- * Release Version: 1.0.8.3
+ * Release Version: 1.0.8.4
* Date Started: 2004/06/04
*
* Objective-C language file for GeSHi.
diff --git a/inc/geshi/ocaml-brief.php b/inc/geshi/ocaml-brief.php
index 8c1551920..f3d01a0a1 100644
--- a/inc/geshi/ocaml-brief.php
+++ b/inc/geshi/ocaml-brief.php
@@ -4,7 +4,7 @@
* ----------
* Author: Flaie (fireflaie@gmail.com)
* Copyright: (c) 2005 Flaie, Nigel McNie (http://qbnz.com/highlighter)
- * Release Version: 1.0.8.3
+ * Release Version: 1.0.8.4
* Date Started: 2005/08/27
*
* OCaml (Objective Caml) language file for GeSHi.
diff --git a/inc/geshi/ocaml.php b/inc/geshi/ocaml.php
index e21ca7f22..505149c31 100644
--- a/inc/geshi/ocaml.php
+++ b/inc/geshi/ocaml.php
@@ -4,7 +4,7 @@
* ----------
* Author: Flaie (fireflaie@gmail.com)
* Copyright: (c) 2005 Flaie, Nigel McNie (http://qbnz.com/highlighter)
- * Release Version: 1.0.8.3
+ * Release Version: 1.0.8.4
* Date Started: 2005/08/27
*
* OCaml (Objective Caml) language file for GeSHi.
diff --git a/inc/geshi/oobas.php b/inc/geshi/oobas.php
index 5ca65cdcd..b4f95512a 100644
--- a/inc/geshi/oobas.php
+++ b/inc/geshi/oobas.php
@@ -4,7 +4,7 @@
* ---------
* Author: Roberto Rossi (rsoftware@altervista.org)
* Copyright: (c) 2004 Roberto Rossi (http://rsoftware.altervista.org), Nigel McNie (http://qbnz.com/highlighter)
- * Release Version: 1.0.8.3
+ * Release Version: 1.0.8.4
* Date Started: 2004/08/30
*
* OpenOffice.org Basic language file for GeSHi.
diff --git a/inc/geshi/oracle11.php b/inc/geshi/oracle11.php
index 7d267b1e4..e5417d7d0 100644
--- a/inc/geshi/oracle11.php
+++ b/inc/geshi/oracle11.php
@@ -6,7 +6,7 @@
* Contributions:
* - Updated for 11i by Simon Redhead
* Copyright: (c) 2004 Nigel McNie (http://qbnz.com/highlighter)
- * Release Version: 1.0.8.3
+ * Release Version: 1.0.8.4
* Date Started: 2004/06/04
*
* Oracle 11i language file for GeSHi.
diff --git a/inc/geshi/oracle8.php b/inc/geshi/oracle8.php
index d54b1e3a8..d216db0a1 100644
--- a/inc/geshi/oracle8.php
+++ b/inc/geshi/oracle8.php
@@ -4,7 +4,7 @@
* -----------
* Author: Guy Wicks (Guy.Wicks@rbs.co.uk)
* Copyright: (c) 2004 Nigel McNie (http://qbnz.com/highlighter)
- * Release Version: 1.0.8.3
+ * Release Version: 1.0.8.4
* Date Started: 2004/06/04
*
* Oracle 8 language file for GeSHi.
diff --git a/inc/geshi/pascal.php b/inc/geshi/pascal.php
index d2acd0fc8..01a66bfa0 100644
--- a/inc/geshi/pascal.php
+++ b/inc/geshi/pascal.php
@@ -4,7 +4,7 @@
* ----------
* Author: Tux (tux@inamil.cz)
* Copyright: (c) 2004 Tux (http://tux.a4.cz/), Nigel McNie (http://qbnz.com/highlighter)
- * Release Version: 1.0.8.3
+ * Release Version: 1.0.8.4
* Date Started: 2004/07/26
*
* Pascal language file for GeSHi.
diff --git a/inc/geshi/per.php b/inc/geshi/per.php
index 092aae071..ea9c75f8e 100644
--- a/inc/geshi/per.php
+++ b/inc/geshi/per.php
@@ -4,7 +4,7 @@
* --------
* Author: Lars Gersmann (lars.gersmann@gmail.com)
* Copyright: (c) 2007 Lars Gersmann
- * Release Version: 1.0.8.3
+ * Release Version: 1.0.8.4
* Date Started: 2007/06/03
*
* Per (forms) (FOURJ's Genero 4GL) language file for GeSHi.
diff --git a/inc/geshi/perl.php b/inc/geshi/perl.php
index f8ac0961f..7c212515e 100644
--- a/inc/geshi/perl.php
+++ b/inc/geshi/perl.php
@@ -4,7 +4,7 @@
* --------
* Author: Andreas Gohr (andi@splitbrain.org), Ben Keen (ben.keen@gmail.com)
* Copyright: (c) 2004 Andreas Gohr, Ben Keen (http://www.benjaminkeen.org/), Nigel McNie (http://qbnz.com/highlighter/)
- * Release Version: 1.0.8.3
+ * Release Version: 1.0.8.4
* Date Started: 2004/08/20
*
* Perl language file for GeSHi.
diff --git a/inc/geshi/php-brief.php b/inc/geshi/php-brief.php
index dd6781d5d..2a5d78611 100644
--- a/inc/geshi/php-brief.php
+++ b/inc/geshi/php-brief.php
@@ -4,7 +4,7 @@
* -------------
* Author: Nigel McNie (nigel@geshi.org)
* Copyright: (c) 2004 Nigel McNie (http://qbnz.com/highlighter/)
- * Release Version: 1.0.8.3
+ * Release Version: 1.0.8.4
* Date Started: 2004/06/02
*
* PHP (brief version) language file for GeSHi.
@@ -185,8 +185,28 @@ $language_data = array (
3 => array(
'<script language="php">' => '</script>'
),
- 4 => "/(<\?(?:php)?)(?:'(?:[^'\\\\]|\\\\.)*?'|\"(?:[^\"\\\\]|\\\\.)*?\"|\/\*(?!\*\/).*?\*\/|.)*?(\?>|\Z)/sm",
- 5 => "/(<%)(?:'(?:[^'\\\\]|\\\\.)*?'|\"(?:[^\"\\\\]|\\\\.)*?\"|\/\*(?!\*\/).*?\*\/|.)*?(%>|\Z)/sm"
+ 4 => "/(?<start><\\?(?>php\b)?)(?:".
+ "(?>[^\"'?\\/<]+)|".
+ "\\?(?!>)|".
+ "(?>'(?>[^'\\\\]|\\\\'|\\\\\\\|\\\\)*')|".
+ "(?>\"(?>[^\"\\\\]|\\\\\"|\\\\\\\\|\\\\)*\")|".
+ "(?>\\/\\*(?>[^\\*]|(?!\\*\\/)\\*)*\\*\\/)|".
+ "\\/\\/(?>.*?$)|".
+ "\\/(?=[^*\\/])|".
+ "<(?!<<)|".
+ "<<<(?<phpdoc>\w+)\s.*?\s\k<phpdoc>".
+ ")*(?<end>\\?>|\Z)/sm",
+ 5 => "/(?<start><%)(?:".
+ "(?>[^\"'%\\/<]+)|".
+ "%(?!>)|".
+ "(?>'(?>[^'\\\\]|\\\\'|\\\\\\\|\\\\)*')|".
+ "(?>\"(?>[^\\\"\\\\]|\\\\\"|\\\\\\\\|\\\\)*\")|".
+ "(?>\\/\\*(?>[^\\*]|(?!\\*\\/)\\*)*\\*\\/)|".
+ "\\/\\/(?>.*?$)|".
+ "\\/(?=[^*\\/])|".
+ "<(?!<<)|".
+ "<<<(?<phpdoc>\w+)\s.*?\s\k<phpdoc>".
+ ")*(?<end>%>)/sm"
),
'HIGHLIGHT_STRICT_BLOCK' => array(
0 => true,
@@ -199,4 +219,4 @@ $language_data = array (
'TAB_WIDTH' => 4
);
-?>
+?> \ No newline at end of file
diff --git a/inc/geshi/php.php b/inc/geshi/php.php
index fc6be6c38..b96c947ed 100644
--- a/inc/geshi/php.php
+++ b/inc/geshi/php.php
@@ -4,7 +4,7 @@
* --------
* Author: Nigel McNie (nigel@geshi.org)
* Copyright: (c) 2004 Nigel McNie (http://qbnz.com/highlighter/)
- * Release Version: 1.0.8.3
+ * Release Version: 1.0.8.4
* Date Started: 2004/06/20
*
* PHP language file for GeSHi.
@@ -54,9 +54,6 @@ $language_data = array(
'LANG_NAME' => 'PHP',
'COMMENT_SINGLE' => array(1 => '//', 2 => '#'),
'COMMENT_MULTI' => array('/*' => '*/'),
- 'HARDQUOTE' => array("'", "'"),
- 'HARDESCAPE' => array("'", "\\"),
- 'HARDCHAR' => "\\",
'COMMENT_REGEXP' => array(
//Heredoc and Nowdoc syntax
3 => '/<<<\s*?(\'?)([a-zA-Z0-9]+?)\1[^\n]*?\\n.*\\n\\2(?![a-zA-Z0-9])/siU',
@@ -82,8 +79,11 @@ $language_data = array(
//Format String support in ""-Strings
6 => "#%(?:%|(?:\d+\\\\\\\$)?\\+?(?:\x20|0|'.)?-?(?:\d+|\\*)?(?:\.\d+)?[bcdefFosuxX])#"
),
+ 'HARDQUOTE' => array("'", "'"),
+ 'HARDESCAPE' => array("'", "\\"),
+ 'HARDCHAR' => "\\",
'NUMBERS' =>
- GESHI_NUMBER_INT_BASIC | GESHI_NUMBER_OCT_PREFIX | GESHI_NUMBER_HEX_PREFIX |
+ GESHI_NUMBER_INT_BASIC | GESHI_NUMBER_OCT_PREFIX | GESHI_NUMBER_HEX_PREFIX |
GESHI_NUMBER_FLT_SCI_ZERO,
'KEYWORDS' => array(
1 => array(
@@ -974,7 +974,7 @@ $language_data = array(
),
'SYMBOLS' => array(
1 => array(
- '<%', '<%=', '%>', '<?', '<?=', '?>'
+ '<'.'%', '<'.'%=', '%'.'>', '<'.'?', '<'.'?=', '?'.'>'
),
0 => array(
'(', ')', '[', ']', '{', '}',
@@ -1066,19 +1066,39 @@ $language_data = array(
'STRICT_MODE_APPLIES' => GESHI_MAYBE,
'SCRIPT_DELIMITERS' => array(
0 => array(
- '<?php' => '?>'
+ '<'.'?php' => '?'.'>'
),
1 => array(
- '<?' => '?>'
+ '<'.'?' => '?'.'>'
),
2 => array(
- '<%' => '%>'
+ '<'.'%' => '%'.'>'
),
3 => array(
'<script language="php">' => '</script>'
),
- 4 => "/(<\?(?:php)?)(?:'(?:[^'\\\\]|\\\\.)*?'|\"(?:[^\"\\\\]|\\\\.)*?\"|\/\*(?!\*\/).*?\*\/|.)*?(\?>|\Z)/sm",
- 5 => "/(<%)(?:'(?:[^'\\\\]|\\\\.)*?'|\"(?:[^\"\\\\]|\\\\.)*?\"|\/\*(?!\*\/).*?\*\/|.)*?(%>|\Z)/sm"
+ 4 => "/(?<start><\\?(?>php\b)?)(?:".
+ "(?>[^\"'?\\/<]+)|".
+ "\\?(?!>)|".
+ "(?>'(?>[^'\\\\]|\\\\'|\\\\\\\|\\\\)*')|".
+ "(?>\"(?>[^\"\\\\]|\\\\\"|\\\\\\\\|\\\\)*\")|".
+ "(?>\\/\\*(?>[^\\*]|(?!\\*\\/)\\*)*\\*\\/)|".
+ "\\/\\/(?>.*?$)|".
+ "\\/(?=[^*\\/])|".
+ "<(?!<<)|".
+ "<<<(?<phpdoc>\w+)\s.*?\s\k<phpdoc>".
+ ")*(?<end>\\?>|\Z)/sm",
+ 5 => "/(?<start><%)(?:".
+ "(?>[^\"'%\\/<]+)|".
+ "%(?!>)|".
+ "(?>'(?>[^'\\\\]|\\\\'|\\\\\\\|\\\\)*')|".
+ "(?>\"(?>[^\\\"\\\\]|\\\\\"|\\\\\\\\|\\\\)*\")|".
+ "(?>\\/\\*(?>[^\\*]|(?!\\*\\/)\\*)*\\*\\/)|".
+ "\\/\\/(?>.*?$)|".
+ "\\/(?=[^*\\/])|".
+ "<(?!<<)|".
+ "<<<(?<phpdoc>\w+)\s.*?\s\k<phpdoc>".
+ ")*(?<end>%>)/sm",
),
'HIGHLIGHT_STRICT_BLOCK' => array(
0 => true,
diff --git a/inc/geshi/pic16.php b/inc/geshi/pic16.php
index 267978896..f25183ffb 100644
--- a/inc/geshi/pic16.php
+++ b/inc/geshi/pic16.php
@@ -4,7 +4,7 @@
* -------
* Author: Phil Mattison (mattison@ohmikron.com)
* Copyright: (c) 2008 Ohmikron Corp. (http://www.ohmikron.com/)
- * Release Version: 1.0.8.3
+ * Release Version: 1.0.8.4
* Date Started: 2008/07/30
*
* PIC16 Assembler language file for GeSHi.
diff --git a/inc/geshi/pixelbender.php b/inc/geshi/pixelbender.php
index 93da0df55..b65e228a1 100644
--- a/inc/geshi/pixelbender.php
+++ b/inc/geshi/pixelbender.php
@@ -4,7 +4,7 @@
* ----------------
* Author: Richard Olsson (r@richardolsson.se)
* Copyright: (c) 2008 Richard Olsson (richardolsson.se)
- * Release Version: 1.0.8.3
+ * Release Version: 1.0.8.4
* Date Started: 2008/11/16
*
* Pixel Bender 1.0 language file for GeSHi.
diff --git a/inc/geshi/plsql.php b/inc/geshi/plsql.php
index 2f3a2b620..6534a1922 100644
--- a/inc/geshi/plsql.php
+++ b/inc/geshi/plsql.php
@@ -4,7 +4,7 @@
* -------
* Author: Victor Engmark <victor.engmark@gmail.com>
* Copyright: (c) 2006 Victor Engmark (http://l0b0.net/)
- * Release Version: 1.0.8.3
+ * Release Version: 1.0.8.4
* Date Started: 2006/10/26
*
* Oracle 9.2 PL/SQL language file for GeSHi.
diff --git a/inc/geshi/povray.php b/inc/geshi/povray.php
index 09a8b01df..af6c443da 100644
--- a/inc/geshi/povray.php
+++ b/inc/geshi/povray.php
@@ -4,7 +4,7 @@
* --------
* Author: Carl Fürstenberg (azatoth@gmail.com)
* Copyright: © 2007 Carl Fürstenberg
- * Release Version: 1.0.8.3
+ * Release Version: 1.0.8.4
* Date Started: 2008/07/11
*
* Povray language file for GeSHi.
diff --git a/inc/geshi/powershell.php b/inc/geshi/powershell.php
index 5b9e16bdc..e427059d3 100644
--- a/inc/geshi/powershell.php
+++ b/inc/geshi/powershell.php
@@ -4,7 +4,7 @@
* ---------------------------------
* Author: Frode Aarebrot (frode@aarebrot.net)
* Copyright: (c) 2008 Frode Aarebrot (http://www.aarebrot.net)
- * Release Version: 1.0.8.3
+ * Release Version: 1.0.8.4
* Date Started: 2008/06/20
*
* PowerShell language file for GeSHi.
@@ -47,7 +47,7 @@
************************************************************************************/
$language_data = array (
- 'LANG_NAME' => 'posh',
+ 'LANG_NAME' => 'PowerShell',
'COMMENT_SINGLE' => array(1 => '#'),
'COMMENT_MULTI' => array(),
'CASE_KEYWORDS' => GESHI_CAPS_NO_CHANGE,
@@ -187,7 +187,7 @@ $language_data = array (
0 => 'color: #800000;'
),
'NUMBERS' => array(
- 0 => 'color: #000000;'
+ 0 => 'color: #804000;'
),
'METHODS' => array(
0 => 'color: pink;'
@@ -211,7 +211,7 @@ $language_data = array (
3 => '',
4 => '',
5 => '',
- 6 => '',
+ 6 => 'about:blank',
),
'OOLANG' => false,
'OBJECT_SPLITTERS' => array(
@@ -254,13 +254,7 @@ $language_data = array (
//BenBE: Please note that changes here and in Keyword group 6 have to be synchronized in order to work properly.
//This Regexp must only match, if keyword group 6 doesn't. If this assumption fails
//Highlighting of the keywords will be incomplete or incorrect!
- 0 => "(?<!\\\$|>)[\\\$](?!(?:DebugPreference|Error(?:ActionPreference)?|".
- "Ho(?:me|st)|Input|LASTEXITCODE|Maximum(?:AliasCount|DriveCount|".
- "FunctionCount|HistoryCount|VariableCount)|OFS|P(?:WD|sHome)|".
- "ReportErrorShow(?:ExceptionClass|InnerException|S(?:ource|".
- "tackTrace))|S(?:houldProcess(?:Preference|ReturnPreference)|".
- "tackTrace)|VerbosePreference|WarningPreference|_|args|foreach)\W)".
- "(\w+)(?=[^|\w])",
+ 0 => "(?<!\\\$|>)[\\\$](\w+)(?=[^|\w])",
),
'STRICT_MODE_APPLIES' => GESHI_NEVER,
'SCRIPT_DELIMITERS' => array(
@@ -269,8 +263,12 @@ $language_data = array (
),
'PARSER_CONTROL' => array(
'KEYWORDS' => array(
+ 4 => array(
+ 'DISALLOWED_AFTER' => '(?![a-zA-Z])',
+ 'DISALLOWED_BEFORE' => ''
+ ),
6 => array(
- 'DISALLOWED_BEFORE' => '(?<!\$)\$'
+ 'DISALLOWED_BEFORE' => '(?<!\$>)\$'
)
)
)
diff --git a/inc/geshi/progress.php b/inc/geshi/progress.php
index abd5bcb19..2d6024e74 100644
--- a/inc/geshi/progress.php
+++ b/inc/geshi/progress.php
@@ -4,7 +4,7 @@
* --------
* Author: Marco Aurelio de Pasqual (marcop@hdi.com.br)
* Copyright: (c) 2008 Marco Aurelio de Pasqual, Benny Baumann (http://qbnz.com/highlighter)
- * Release Version: 1.0.8.3
+ * Release Version: 1.0.8.4
* Date Started: 2008/07/11
*
* Progress language file for GeSHi.
@@ -51,7 +51,7 @@ $language_data = array(
1 => array(
'ACCUMULATE','APPLY','ASSIGN','BELL','QUERY',
'BUFFER-COMPARE','BUFFER-COPY','CALL','CASE',
- 'CHOOSE','CLASS','CLEAR','CLOSE QUERY','each','WHERE',
+ 'CHOOSE','CLASS','CLOSE QUERY','each','WHERE',
'CLOSE STORED-PROCEDURE','COLOR','COMPILE','CONNECT',
'CONSTRUCTOR','COPY-LOB','CREATE','CREATE ALIAS',
'CREATE BROWSE','CREATE BUFFER','CREATE CALL','CREATE CLIENT-PRINCIPAL',
@@ -71,12 +71,12 @@ $language_data = array(
'DISABLE','DISABLE TRIGGERS','DISCONNECT','DISPLAY',
'DO','DOS','DOWN','DYNAMIC-CURRENT-VALUE',
'ELSE','EMPTY TEMP-TABLE','ENABLE','END',
- 'ENTRY','EXPORT','FIND','AND',
+ 'ENTRY','FIND','AND',
'FIX-CODEPAGE','FOR','FORM','FRAME-VALUE',
'GET','GET-KEY-VALUE','HIDE','IF',
'IMPORT','INPUT CLEAR','INPUT CLOSE','INPUT FROM','input',
- 'INPUT THROUGH','INPUT-OUTPUT CLOSE','INPUT-OUTPUT THROUGH','INSERT',
- 'INTERFACE','LEAVE','LOAD','BREAK',
+ 'INPUT THROUGH','INPUT-OUTPUT CLOSE','INPUT-OUTPUT THROUGH',
+ 'INTERFACE','LEAVE','BREAK',
'LOAD-PICTURE','MESSAGE','method','NEXT','prev',
'NEXT-PROMPT','ON','OPEN QUERY','OS-APPEND',
'OS-COMMAND','OS-COPY','OS-CREATE-DIR','OS-DELETE',
@@ -97,7 +97,7 @@ $language_data = array(
'system-DIALOG PRINTER-SETUP','system-HELP','THEN','THIS-object',
'TRANSACTION-MODE AUTOMATIC','TRIGGER PROCEDURE','UNDERLINE','UNDO',
'UNIX','UNLOAD','UNSUBSCRIBE','UP','STRING',
- 'UPDATE','USE','USING','VALIDATE','substr','SKIP','CLOSE',
+ 'UPDATE','USE','USING','substr','SKIP','CLOSE',
'VIEW','WAIT-FOR','MODULO','NE','AVAIL',
'NOT','OR','&GLOBAL-DEFINE','&IF','UNFORMATTED','NO-PAUSE',
'&THEN','&ELSEIF','&ELSE','&ENDIF','OPEN','NO-WAIT',
@@ -129,21 +129,21 @@ $language_data = array(
'ADD-LIKE-FIELD','ADD-LIKE-INDEX','ADD-NEW-FIELD','ADD-NEW-INDEX',
'ADD-RELATION','ADD-SCHEMA-LOCATION','ADD-SOURCE-BUFFER','ADD-SUPER-PROCEDURE',
'APPEND-CHILD','APPLY-CALLBACK','ATTACH-DATA-SOURCE','AUTHENTICATION-FAILED',
- 'BEGIN-EVENT-GROUP','BUFFER-COMPARE','BUFFER-COPY','BUFFER-CREATE',
- 'BUFFER-DELETE','BUFFER-FIELD','BUFFER-RELEASE','BUFFER-VALIDATE',
+ 'BEGIN-EVENT-GROUP','BUFFER-CREATE',
+ 'BUFFER-DELETE','BUFFER-RELEASE','BUFFER-VALIDATE',
'CANCEL-BREAK','CANCEL-REQUESTS','CLEAR','CLEAR-APPL-CONTEXT',
'CLEAR-LOG','CLEAR-SELECTION','CLEAR-SORT-ARROWS','CLONE-NODE',
- 'CLOSE-LOG','CONNECT','CONNECTED','CONVERT-TO-OFFSET',
+ 'CLOSE-LOG','CONNECTED','CONVERT-TO-OFFSET',
'COPY-DATASET','COPY-SAX-attributeS','COPY-TEMP-TABLE','CREATE-LIKE',
'CREATE-NODE','CREATE-NODE-NAMESPACE','CREATE-RESULT-LIST-ENTRY','DEBUG',
'DECLARE-NAMESPACE','DELETE-CHAR','DELETE-CURRENT-ROW',
'DELETE-HEADER-ENTRY','DELETE-LINE','DELETE-NODE','DELETE-RESULT-LIST-ENTRY',
'DELETE-SELECTED-ROW','DELETE-SELECTED-ROWS','DESELECT-FOCUSED-ROW','DESELECT-ROWS',
- 'DESELECT-SELECTED-ROW','DETACH-DATA-SOURCE','DISABLE','DISABLE-CONNECTIONS',
- 'DISABLE-DUMP-TRIGGERS','DISABLE-LOAD-TRIGGERS','DISCONNECT','DISPLAY-MESSAGE',
+ 'DESELECT-SELECTED-ROW','DETACH-DATA-SOURCE','DISABLE-CONNECTIONS',
+ 'DISABLE-DUMP-TRIGGERS','DISABLE-LOAD-TRIGGERS','DISPLAY-MESSAGE',
'DUMP-LOGGING-NOW','EDIT-CLEAR','EDIT-COPY','EDIT-CUT',
'EDIT-PASTE','EDIT-UNDO','EMPTY-DATASET','EMPTY-TEMP-TABLE',
- 'ENABLE','ENABLE-CONNECTIONS','ENABLE-EVENTS','ENCRYPT-AUDIT-MAC-KEY',
+ 'ENABLE-CONNECTIONS','ENABLE-EVENTS','ENCRYPT-AUDIT-MAC-KEY',
'END-DOCUMENT','END-ELEMENT','END-EVENT-GROUP','END-FILE-DROP',
'EXPORT','EXPORT-PRINCIPAL','FETCH-SELECTED-ROW',
'FILL','FIND-BY-ROWID','FIND-CURRENT','FIND-FIRST',
@@ -164,7 +164,7 @@ $language_data = array(
'GET-TEXT-WIDTH-CHARS','GET-TEXT-WIDTH-PIXELS','GET-TOP-BUFFER','GET-TYPE-BY-INDEX',
'GET-TYPE-BY-NAMESPACE-NAME','GET-TYPE-BY-QNAME','GET-URI-BY-INDEX','GET-VALUE-BY-INDEX',
'GET-VALUE-BY-NAMESPACE-NAME','GET-VALUE-BY-QNAME','GET-WAIT-STATE','IMPORT-NODE',
- 'IMPORT-PRINCIPAL','INCREMENT-EXCLUSIVE-ID','INDEX-INFORMATION','INITIALIZE-DOCUMENT-TYPE',
+ 'IMPORT-PRINCIPAL','INCREMENT-EXCLUSIVE-ID','INITIALIZE-DOCUMENT-TYPE',
'INITIATE','INSERT','INSERT-attribute','INSERT-BACKTAB',
'INSERT-BEFORE','INSERT-FILE','INSERT-ROW','INSERT-STRING',
'INSERT-TAB','INVOKE','IS-ROW-SELECTED','IS-SELECTED',
@@ -175,7 +175,7 @@ $language_data = array(
'MEMPTR-TO-NODE-VALUE','MERGE-CHANGES','MERGE-ROW-CHANGES','MOVE-AFTER-TAB-ITEM',
'MOVE-BEFORE-TAB-ITEM','MOVE-COLUMN','MOVE-TO-BOTTOM','MOVE-TO-EOF',
'MOVE-TO-TOP','NODE-VALUE-TO-LONGCHAR','NODE-VALUE-TO-MEMPTR','NORMALIZE',
- 'QUERY-CLOSE','QUERY-OPEN','QUERY-PREPARE','RAW-TRANSFER',
+ 'QUERY-CLOSE','QUERY-OPEN','QUERY-PREPARE',
'READ','READ-FILE','READ-XML','READ-XMLSCHEMA',
'REFRESH','REFRESH-AUDIT-POLICY','REGISTER-DOMAIN','REJECT-CHANGES',
'REJECT-ROW-CHANGES','REMOVE-attribute','REMOVE-CHILD','REMOVE-EVENTS-PROCEDURE',
@@ -205,14 +205,14 @@ $language_data = array(
'AMBIGUOUS','ASC','AUDIT-ENABLED','AVAILABLE',
'BASE64-DECODE','BASE64-ENCODE','CAN-DO','CAN-FIND',
'CAN-QUERY','CAN-SET','CAPS','CAST','OS-DIR',
- 'CHR','CODEPAGE-CONVERT','COMPARE','CONNECTED',
+ 'CHR','CODEPAGE-CONVERT','COMPARE',
'COUNT-OF','CURRENT-CHANGED','CURRENT-RESULT-ROW','DATASERVERS',
'DATA-SOURCE-MODIFIED','DATETIME','DATETIME-TZ',
'DAY','DBCODEPAGE','DBCOLLATION','DBNAME',
'DBPARAM','DBRESTRICTIONS','DBTASKID','DBTYPE',
'DBVERSION','DECIMAL','DECRYPT','DYNAMIC-function',
'DYNAMIC-NEXT-VALUE','ENCODE','ENCRYPT','ENTERED',
- 'ERROR','ETIME','EXP','FILL','ENDKEY','END-error',
+ 'ERROR','ETIME','EXP','ENDKEY','END-error',
'FIRST-OF','FRAME-DB','FRAME-DOWN',
'FRAME-FIELD','FRAME-FILE','FRAME-INDEX','FRAME-LINE',
'GATEWAYS','GENERATE-PBE-KEY','GENERATE-PBE-SALT','GENERATE-RANDOM-KEY',
@@ -228,21 +228,21 @@ $language_data = array(
'KEYWORD','KEYWORD-ALL','LASTKEY',
'LAST-OF','LC','LDBNAME','LEFT-TRIM',
'LIBRARY','LINE-COUNTER','LIST-EVENTS','LIST-QUERY-ATTRS',
- 'LIST-SET-ATTRS','LIST-widgetS','LOCKED','LOG',
- 'LOGICAL','LOOKUP','MAXIMUM','MD5-DIGEST',
+ 'LIST-SET-ATTRS','LIST-widgetS','LOCKED',
+ 'LOGICAL','MAXIMUM','MD5-DIGEST',
'MEMBER','MESSAGE-LINES','MINIMUM','MONTH',
- 'MTIME','NEW','NEXT-VALUE','NORMALIZE','SHARED',
+ 'MTIME','NEW','NEXT-VALUE','SHARED',
'NOT ENTERED','NOW','NUM-ALIASES','NUM-DBS',
'NUM-ENTRIES','NUM-RESULTS','OPSYS','OS-DRIVES',
'OS-ERROR','OS-GETENV','PAGE-NUMBER','PAGE-SIZE',
'PDBNAME','PROC-HANDLE','PROC-STATUS','PROGRAM-NAME',
'PROGRESS','PROVERSION','QUERY-OFF-END','QUOTER',
'RANDOM','RAW','RECID','REJECTED',
- 'REPLACE','RETRY','RETURN-VALUE','RGB-VALUE',
+ 'RETRY','RETURN-VALUE','RGB-VALUE',
'RIGHT-TRIM','R-INDEX','ROUND','ROWID','LENGTH',
- 'SDBNAME','SEARCH','SET-DB-CLIENT','SETUSERID',
+ 'SDBNAME','SET-DB-CLIENT','SETUSERID',
'SHA1-DIGEST','SQRT','SUBSTITUTE','VARIABLE',
- 'SUPER','TERMINAL','TIME','TIMEZONE','external','ENTRY',
+ 'SUPER','TERMINAL','TIME','TIMEZONE','external',
'TODAY','TO-ROWID','TRIM','TRUNCATE','return',
'TYPE-OF','USERID','VALID-EVENT','VALID-HANDLE',
'VALID-object','WEEKDAY','YEAR','BEGINS','VALUE',
@@ -396,8 +396,8 @@ $language_data = array(
'WINDOW-system','WORD-WRAP','WORK-AREA-HEIGHT-PIXELS','WORK-AREA-WIDTH-PIXELS',
'WORK-AREA-X','WORK-AREA-Y','WRITE-STATUS','X','widget-Handle',
'X-DOCUMENT','XML-DATA-TYPE','XML-NODE-TYPE','XML-SCHEMA-PATH',
- 'XML-SUPPRESS-NAMESPACE-PROCESSING','Y','YEAR-OFFSET','CHARACTER','INTEGER','LOGICAL',
- 'LONGCHAR','MEMPTR','DECIMAL','CHAR','DEC','INT','LOG','DECI','INTE','LOGI','long'
+ 'XML-SUPPRESS-NAMESPACE-PROCESSING','Y','YEAR-OFFSET','CHARACTER',
+ 'LONGCHAR','MEMPTR','CHAR','DEC','INT','LOG','DECI','INTE','LOGI','long'
)
),
'SYMBOLS' => array(
@@ -471,9 +471,15 @@ $language_data = array(
'PARSER_CONTROL' => array(
'KEYWORDS' => array(
'DISALLOWED_BEFORE' => "(?<![\.\-a-zA-Z0-9_\$\#&])",
- 'DISALLOWED_AFTER' => "(?![\-a-zA-Z0-9_%])"
+ 'DISALLOWED_AFTER' => "(?![\-a-zA-Z0-9_%])",
+ 1 => array(
+ 'SPACE_AS_WHITESPACE' => true
+ ),
+ 2 => array(
+ 'SPACE_AS_WHITESPACE' => true
+ )
+ )
)
- )
);
-?>
+?> \ No newline at end of file
diff --git a/inc/geshi/prolog.php b/inc/geshi/prolog.php
index e3a07c1ee..fa9e03a63 100644
--- a/inc/geshi/prolog.php
+++ b/inc/geshi/prolog.php
@@ -4,7 +4,7 @@
* --------
* Author: Benny Baumann (BenBE@geshi.org)
* Copyright: (c) 2008 Benny Baumann (http://qbnz.com/highlighter/)
- * Release Version: 1.0.8.3
+ * Release Version: 1.0.8.4
* Date Started: 2008/10/02
*
* Prolog language file for GeSHi.
diff --git a/inc/geshi/providex.php b/inc/geshi/providex.php
index d8b918edb..f24a57d18 100644
--- a/inc/geshi/providex.php
+++ b/inc/geshi/providex.php
@@ -4,7 +4,7 @@
* ----------
* Author: Jeff Wilder (jeff@coastallogix.com)
* Copyright: (c) 2008 Coastal Logix (http://www.coastallogix.com)
- * Release Version: 1.0.8.3
+ * Release Version: 1.0.8.4
* Date Started: 2008/10/18
*
* ProvideX language file for GeSHi.
diff --git a/inc/geshi/python.php b/inc/geshi/python.php
index fbc6cab94..6f378f434 100644
--- a/inc/geshi/python.php
+++ b/inc/geshi/python.php
@@ -4,7 +4,7 @@
* ----------
* Author: Roberto Rossi (rsoftware@altervista.org)
* Copyright: (c) 2004 Roberto Rossi (http://rsoftware.altervista.org), Nigel McNie (http://qbnz.com/highlighter)
- * Release Version: 1.0.8.3
+ * Release Version: 1.0.8.4
* Date Started: 2004/08/30
*
* Python language file for GeSHi.
diff --git a/inc/geshi/qbasic.php b/inc/geshi/qbasic.php
index f6531f70a..e3b5df8a1 100644
--- a/inc/geshi/qbasic.php
+++ b/inc/geshi/qbasic.php
@@ -4,7 +4,7 @@
* ----------
* Author: Nigel McNie (nigel@geshi.org)
* Copyright: (c) 2004 Nigel McNie (http://qbnz.com/highlighter/)
- * Release Version: 1.0.8.3
+ * Release Version: 1.0.8.4
* Date Started: 2004/06/20
*
* QBasic/QuickBASIC language file for GeSHi.
diff --git a/inc/geshi/rails.php b/inc/geshi/rails.php
index 52c70a62e..cc6e079b0 100644
--- a/inc/geshi/rails.php
+++ b/inc/geshi/rails.php
@@ -4,7 +4,7 @@
* ---------
* Author: Moises Deniz
* Copyright: (c) 2005 Moises Deniz
- * Release Version: 1.0.8.3
+ * Release Version: 1.0.8.4
* Date Started: 2007/03/21
*
* Ruby (with Ruby on Rails Framework) language file for GeSHi.
diff --git a/inc/geshi/rebol.php b/inc/geshi/rebol.php
index 6f57137c4..97eff1f32 100644
--- a/inc/geshi/rebol.php
+++ b/inc/geshi/rebol.php
@@ -4,7 +4,7 @@
* --------
* Author: Lecanu Guillaume (Guillaume@LyA.fr)
* Copyright: (c) 2004-2005 Lecanu Guillaume (Guillaume@LyA.fr)
- * Release Version: 1.0.8.3
+ * Release Version: 1.0.8.4
* Date Started: 2004/12/22
*
* Rebol language file for GeSHi.
diff --git a/inc/geshi/reg.php b/inc/geshi/reg.php
index 9c85a150b..59199f743 100644
--- a/inc/geshi/reg.php
+++ b/inc/geshi/reg.php
@@ -4,7 +4,7 @@
* -------
* Author: Sean Hanna (smokingrope@gmail.com)
* Copyright: (c) 2006 Sean Hanna
- * Release Version: 1.0.8.3
+ * Release Version: 1.0.8.4
* Date Started: 03/15/2006
*
* Microsoft Registry Editor language file for GeSHi.
diff --git a/inc/geshi/robots.php b/inc/geshi/robots.php
index 7bb2b2047..af5fe1426 100644
--- a/inc/geshi/robots.php
+++ b/inc/geshi/robots.php
@@ -4,7 +4,7 @@
* --------
* Author: Christian Lescuyer (cl@goelette.net)
* Copyright: (c) 2006 Christian Lescuyer http://xtian.goelette.info
- * Release Version: 1.0.8.3
+ * Release Version: 1.0.8.4
* Date Started: 2006/02/17
*
* robots.txt language file for GeSHi.
diff --git a/inc/geshi/ruby.php b/inc/geshi/ruby.php
index 892855715..8c2b7d829 100644
--- a/inc/geshi/ruby.php
+++ b/inc/geshi/ruby.php
@@ -4,7 +4,7 @@
* --------
* Author: Moises Deniz
* Copyright: (c) 2007 Moises Deniz
- * Release Version: 1.0.8.3
+ * Release Version: 1.0.8.4
* Date Started: 2007/03/21
*
* Ruby language file for GeSHi.
diff --git a/inc/geshi/sas.php b/inc/geshi/sas.php
index d4ee82887..bc6899716 100644
--- a/inc/geshi/sas.php
+++ b/inc/geshi/sas.php
@@ -4,7 +4,7 @@
* -------
* Author: Galen Johnson (solitaryr@gmail.com)
* Copyright: (c) 2004 Nigel McNie (http://qbnz.com/highlighter/)
- * Release Version: 1.0.8.3
+ * Release Version: 1.0.8.4
* Date Started: 2005/12/27
*
* SAS language file for GeSHi. Based on the sas vim file.
diff --git a/inc/geshi/scala.php b/inc/geshi/scala.php
index c72de3362..0eae52d92 100644
--- a/inc/geshi/scala.php
+++ b/inc/geshi/scala.php
@@ -4,7 +4,7 @@
* ----------
* Author: Franco Lombardo (franco@francolombardo.net)
* Copyright: (c) 2008 Franco Lombardo, Benny Baumann
- * Release Version: 1.0.8.3
+ * Release Version: 1.0.8.4
* Date Started: 2008/02/08
*
* Scala language file for GeSHi.
diff --git a/inc/geshi/scheme.php b/inc/geshi/scheme.php
index 1c85f80e6..1fffcb248 100644
--- a/inc/geshi/scheme.php
+++ b/inc/geshi/scheme.php
@@ -4,7 +4,7 @@
* ----------
* Author: Jon Raphaelson (jonraphaelson@gmail.com)
* Copyright: (c) 2005 Jon Raphaelson, Nigel McNie (http://qbnz.com/highlighter)
- * Release Version: 1.0.8.3
+ * Release Version: 1.0.8.4
* Date Started: 2004/08/30
*
* Scheme language file for GeSHi.
diff --git a/inc/geshi/scilab.php b/inc/geshi/scilab.php
index bfe72ad83..3d66d810a 100644
--- a/inc/geshi/scilab.php
+++ b/inc/geshi/scilab.php
@@ -4,7 +4,7 @@
* --------
* Author: Christophe David (geshi@christophedavid.org)
* Copyright: (c) 2008 Christophe David (geshi@christophedavid.org)
- * Release Version: 1.0.8.3
+ * Release Version: 1.0.8.4
* Date Started: 2008/08/04
*
* SciLab language file for GeSHi.
@@ -41,7 +41,7 @@ $language_data = array (
'COMMENT_SINGLE' => array(1 => '//'),
'COMMENT_MULTI' => array(),
'COMMENT_REGEXP' => array(
- 2 => "/\w+'/"
+ 2 => "/(?<=\)|\]|\w)'/"
),
'CASE_KEYWORDS' => GESHI_CAPS_NO_CHANGE,
'QUOTEMARKS' => array('"'),
@@ -292,4 +292,4 @@ $language_data = array (
)
);
-?>
+?> \ No newline at end of file
diff --git a/inc/geshi/sdlbasic.php b/inc/geshi/sdlbasic.php
index 2e8596483..b756df514 100644
--- a/inc/geshi/sdlbasic.php
+++ b/inc/geshi/sdlbasic.php
@@ -4,7 +4,7 @@
* ------------
* Author: Roberto Rossi
* Copyright: (c) 2005 Roberto Rossi (http://rsoftware.altervista.org)
- * Release Version: 1.0.8.3
+ * Release Version: 1.0.8.4
* Date Started: 2005/08/19
*
* sdlBasic (http://sdlbasic.sf.net) language file for GeSHi.
diff --git a/inc/geshi/smalltalk.php b/inc/geshi/smalltalk.php
index 931677331..b4d67cc71 100644
--- a/inc/geshi/smalltalk.php
+++ b/inc/geshi/smalltalk.php
@@ -4,7 +4,7 @@
* --------
* Author: Bananeweizen (Bananeweizen@gmx.de)
* Copyright: (c) 2005 Bananeweizen (www.bananeweizen.de)
- * Release Version: 1.0.8.3
+ * Release Version: 1.0.8.4
* Date Started: 2005/03/27
*
* Smalltalk language file for GeSHi.
diff --git a/inc/geshi/smarty.php b/inc/geshi/smarty.php
index 112ab5aa2..dcc11f61d 100644
--- a/inc/geshi/smarty.php
+++ b/inc/geshi/smarty.php
@@ -4,7 +4,7 @@
* ----------
* Author: Alan Juden (alan@judenware.org)
* Copyright: (c) 2004 Alan Juden, Nigel McNie (http://qbnz.com/highlighter/)
- * Release Version: 1.0.8.3
+ * Release Version: 1.0.8.4
* Date Started: 2004/07/10
*
* Smarty template language file for GeSHi.
diff --git a/inc/geshi/sql.php b/inc/geshi/sql.php
index 00e4fd224..ba91191d1 100644
--- a/inc/geshi/sql.php
+++ b/inc/geshi/sql.php
@@ -4,7 +4,7 @@
* -------
* Author: Nigel McNie (nigel@geshi.org)
* Copyright: (c) 2004 Nigel McNie (http://qbnz.com/highlighter)
- * Release Version: 1.0.8.3
+ * Release Version: 1.0.8.4
* Date Started: 2004/06/04
*
* SQL language file for GeSHi.
diff --git a/inc/geshi/tcl.php b/inc/geshi/tcl.php
index 9badb21a6..25cb31d5b 100644
--- a/inc/geshi/tcl.php
+++ b/inc/geshi/tcl.php
@@ -4,7 +4,7 @@
* ---------------------------------
* Author: Reid van Melle (rvanmelle@gmail.com)
* Copyright: (c) 2004 Reid van Melle (sorry@nowhere)
- * Release Version: 1.0.8.3
+ * Release Version: 1.0.8.4
* Date Started: 2006/05/05
*
* TCL/iTCL language file for GeSHi.
diff --git a/inc/geshi/teraterm.php b/inc/geshi/teraterm.php
index f2938cae0..5ac9f8743 100644
--- a/inc/geshi/teraterm.php
+++ b/inc/geshi/teraterm.php
@@ -4,7 +4,7 @@
* --------
* Author: Boris Maisuradze (boris at logmett.com)
* Copyright: (c) 2008 Boris Maisuradze (http://logmett.com)
- * Release Version: 1.0.8.3
+ * Release Version: 1.0.8.4
* Date Started: 2008/09/26
*
* Tera Term Macro language file for GeSHi.
diff --git a/inc/geshi/text.php b/inc/geshi/text.php
index 6c6e260b6..9183895c2 100644
--- a/inc/geshi/text.php
+++ b/inc/geshi/text.php
@@ -4,7 +4,7 @@
* --------
* Author: Sean Hanna (smokingrope@gmail.com)
* Copyright: (c) 2006 Sean Hanna
- * Release Version: 1.0.8.3
+ * Release Version: 1.0.8.4
* Date Started: 04/23/2006
*
* Standard Text File (No Syntax Highlighting).
diff --git a/inc/geshi/thinbasic.php b/inc/geshi/thinbasic.php
index caa6edf12..b9c9742c7 100644
--- a/inc/geshi/thinbasic.php
+++ b/inc/geshi/thinbasic.php
@@ -4,7 +4,7 @@
* ------
* Author: Eros Olmi (eros.olmi@thinbasic.com)
* Copyright: (c) 2006 Eros Olmi (http://www.thinbasic.com), Nigel McNie (http://qbnz.com/highlighter)
- * Release Version: 1.0.8.3
+ * Release Version: 1.0.8.4
* Date Started: 2006/05/12
*
* thinBasic language file for GeSHi.
diff --git a/inc/geshi/tsql.php b/inc/geshi/tsql.php
index dd6b0cced..fca874954 100644
--- a/inc/geshi/tsql.php
+++ b/inc/geshi/tsql.php
@@ -4,7 +4,7 @@
* --------
* Author: Duncan Lock (dunc@dflock.co.uk)
* Copyright: (c) 2006 Duncan Lock (http://dflock.co.uk/), Nigel McNie (http://qbnz.com/highlighter)
- * Release Version: 1.0.8.3
+ * Release Version: 1.0.8.4
* Date Started: 2005/11/22
*
* T-SQL language file for GeSHi.
@@ -92,14 +92,14 @@ $language_data = array (
//Configuration Functions
'@@DATEFIRST','@@OPTIONS','@@DBTS','@@REMSERVER','@@LANGID','@@SERVERNAME',
- '@@LANGUAGE','@@SERVICENAME','@@LOCK_TIMEOUT','@@SPID','@@MAX_CONNECTIONS','@@TEXTSIZE',
- '@@MAX_PRECISION','@@VERSION','@@NESTLEVEL',
+ '@@LANGUAGE','@@SERVICENAME','@@LOCK_TIMEOUT','@@SPID','@@MAX_CONNECTIONS',
+ '@@TEXTSIZE','@@MAX_PRECISION','@@VERSION','@@NESTLEVEL',
//Cursor Functions
'@@CURSOR_ROWS','@@FETCH_STATUS',
//Date and Time Functions
- 'DATEADD','DATEDIFF','DATENAME','DATEPART','DAY','GETDATE','GETUTCDATE','MONTH','YEAR',
+ 'DATEADD','DATEDIFF','DATENAME','DATEPART','GETDATE','GETUTCDATE',
//Mathematical Functions
'ABS','DEGREES','RAND','ACOS','EXP','ROUND','ASIN','FLOOR','SIGN',
@@ -107,7 +107,7 @@ $language_data = array (
'POWER','TAN','COT','RADIANS',
//Meta Data Functions
- 'COL_LENGTH','fn_listextendedproperty','COL_NAME','FULLTEXTCATALOGPROPERTY',
+ 'COL_LENGTH','COL_NAME','FULLTEXTCATALOGPROPERTY',
'COLUMNPROPERTY','FULLTEXTSERVICEPROPERTY','DATABASEPROPERTY','INDEX_COL',
'DATABASEPROPERTYEX','INDEXKEY_PROPERTY','DB_ID','INDEXPROPERTY','DB_NAME',
'OBJECT_ID','FILE_ID','OBJECT_NAME','FILE_NAME','OBJECTPROPERTY','FILEGROUP_ID',
@@ -115,19 +115,16 @@ $language_data = array (
'TYPEPROPERTY','FILEPROPERTY',
//Security Functions
- 'fn_trace_geteventinfo','IS_SRVROLEMEMBER','fn_trace_getfilterinfo','SUSER_SID',
- 'fn_trace_getinfo','SUSER_SNAME','fn_trace_gettable','USER_ID','HAS_DBACCESS',
- 'IS_MEMBER',
+ 'IS_SRVROLEMEMBER','SUSER_SID','SUSER_SNAME','USER_ID',
+ 'HAS_DBACCESS','IS_MEMBER',
//String Functions
- 'ASCII','NCHAR','SOUNDEX','CHAR','PATINDEX','SPACE','CHARINDEX',
- 'REPLACE','STR','DIFFERENCE','QUOTENAME','STUFF','LEFT','REPLICATE',
- 'SUBSTRING','LEN','REVERSE','UNICODE','LOWER','RIGHT','UPPER','LTRIM',
- 'RTRIM',
+ 'ASCII','SOUNDEX','PATINDEX','CHARINDEX','REPLACE','STR',
+ 'DIFFERENCE','QUOTENAME','STUFF','REPLICATE','SUBSTRING','LEN',
+ 'REVERSE','UNICODE','LOWER','UPPER','LTRIM','RTRIM',
//System Functions
- 'APP_NAME','COLLATIONPROPERTY','@@ERROR','fn_helpcollations',
- 'fn_servershareddrives','fn_virtualfilestats','FORMATMESSAGE',
+ 'APP_NAME','COLLATIONPROPERTY','@@ERROR','FORMATMESSAGE',
'GETANSINULL','HOST_ID','HOST_NAME','IDENT_CURRENT','IDENT_INCR',
'IDENT_SEED','@@IDENTITY','ISDATE','ISNUMERIC','PARSENAME','PERMISSIONS',
'@@ROWCOUNT','ROWCOUNT_BIG','SCOPE_IDENTITY','SERVERPROPERTY','SESSIONPROPERTY',
@@ -143,7 +140,7 @@ $language_data = array (
//Aggregate functions
'AVG', 'MAX', 'BINARY_CHECKSUM', 'MIN', 'CHECKSUM', 'SUM', 'CHECKSUM_AGG',
- 'STDEV', 'COUNT', 'STDEVP', 'COUNT_BIG', 'VAR', 'GROUPING', 'VARP'
+ 'STDEV', 'COUNT', 'STDEVP', 'COUNT_BIG', 'VAR', 'VARP'
),
3 => array(
/*
@@ -306,7 +303,7 @@ $language_data = array (
//Function/sp's higlighted brown.
'fn_helpcollations', 'fn_listextendedproperty ', 'fn_servershareddrives',
'fn_trace_geteventinfo', 'fn_trace_getfilterinfo', 'fn_trace_getinfo',
- 'fn_trace_gettable', 'fn_virtualfilestats',
+ 'fn_trace_gettable', 'fn_virtualfilestats','fn_listextendedproperty',
),
),
'SYMBOLS' => array(
@@ -375,4 +372,4 @@ $language_data = array (
)
);
-?>
+?> \ No newline at end of file
diff --git a/inc/geshi/typoscript.php b/inc/geshi/typoscript.php
index b0ae75380..1e8243c2e 100644
--- a/inc/geshi/typoscript.php
+++ b/inc/geshi/typoscript.php
@@ -4,7 +4,7 @@
* --------
* Author: Jan-Philipp Halle (typo3@jphalle.de)
* Copyright: (c) 2005 Jan-Philipp Halle (http://www.jphalle.de/)
- * Release Version: 1.0.8.3
+ * Release Version: 1.0.8.4
* Date Started: 2005/07/29
*
* TypoScript language file for GeSHi.
diff --git a/inc/geshi/vb.php b/inc/geshi/vb.php
index 040905823..bc43ca4a8 100644
--- a/inc/geshi/vb.php
+++ b/inc/geshi/vb.php
@@ -5,7 +5,7 @@
* Author: Roberto Rossi (rsoftware@altervista.org)
* Copyright: (c) 2004 Roberto Rossi (http://rsoftware.altervista.org),
* Nigel McNie (http://qbnz.com/highlighter)
- * Release Version: 1.0.8.3
+ * Release Version: 1.0.8.4
* Date Started: 2004/08/30
*
* Visual Basic language file for GeSHi.
diff --git a/inc/geshi/vbnet.php b/inc/geshi/vbnet.php
index 4a0f000c3..e9f7c3e43 100644
--- a/inc/geshi/vbnet.php
+++ b/inc/geshi/vbnet.php
@@ -4,7 +4,7 @@
* ---------
* Author: Alan Juden (alan@judenware.org)
* Copyright: (c) 2004 Alan Juden, Nigel McNie (http://qbnz.com/highlighter)
- * Release Version: 1.0.8.3
+ * Release Version: 1.0.8.4
* Date Started: 2004/06/04
*
* VB.NET language file for GeSHi.
diff --git a/inc/geshi/verilog.php b/inc/geshi/verilog.php
index 57d268e9e..ba1766c2d 100644
--- a/inc/geshi/verilog.php
+++ b/inc/geshi/verilog.php
@@ -4,7 +4,7 @@
* -----------
* Author: G�nter Dannoritzer <dannoritzer@web.de>
* Copyright: (C) 2008 Guenter Dannoritzer
- * Release Version: 1.0.8.3
+ * Release Version: 1.0.8.4
* Date Started: 2008/05/28
*
* Verilog language file for GeSHi.
diff --git a/inc/geshi/vhdl.php b/inc/geshi/vhdl.php
index 6fd537ebc..14072c9d7 100644
--- a/inc/geshi/vhdl.php
+++ b/inc/geshi/vhdl.php
@@ -4,7 +4,7 @@
* --------
* Author: Alexander 'E-Razor' Krause (admin@erazor-zone.de)
* Copyright: (c) 2005 Alexander Krause
- * Release Version: 1.0.8.3
+ * Release Version: 1.0.8.4
* Date Started: 2005/06/15
*
* VHDL (VHSICADL, very high speed integrated circuit HDL) language file for GeSHi.
diff --git a/inc/geshi/vim.php b/inc/geshi/vim.php
index 94927eae7..3946c4f96 100644
--- a/inc/geshi/vim.php
+++ b/inc/geshi/vim.php
@@ -5,7 +5,7 @@
* ----------------
* Author: Swaroop C H (swaroop@swaroopch.com)
* Copyright: (c) 2008 Swaroop C H (http://www.swaroopch.com)
- * Release Version: 1.0.8.3
+ * Release Version: 1.0.8.4
* Date Started: 2008/10/19
*
* Vim scripting language file for GeSHi.
diff --git a/inc/geshi/visualfoxpro.php b/inc/geshi/visualfoxpro.php
index 4592dd708..0cb73c2a0 100644
--- a/inc/geshi/visualfoxpro.php
+++ b/inc/geshi/visualfoxpro.php
@@ -4,7 +4,7 @@
* ----------------
* Author: Roberto Armellin (r.armellin@tin.it)
* Copyright: (c) 2004 Roberto Armellin, Nigel McNie (http://qbnz.com/highlighter/)
- * Release Version: 1.0.8.3
+ * Release Version: 1.0.8.4
* Date Started: 2004/09/17
*
* Visual FoxPro language file for GeSHi.
diff --git a/inc/geshi/visualprolog.php b/inc/geshi/visualprolog.php
index 2a5656be3..19eab2de6 100644
--- a/inc/geshi/visualprolog.php
+++ b/inc/geshi/visualprolog.php
@@ -4,7 +4,7 @@
* ----------
* Author: Thomas Linder Puls (puls@pdc.dk)
* Copyright: (c) 2008 Thomas Linder Puls (puls@pdc.dk)
- * Release Version: 1.0.8.3
+ * Release Version: 1.0.8.4
* Date Started: 2008/11/20
*
* Visual Prolog language file for GeSHi.
diff --git a/inc/geshi/whitespace.php b/inc/geshi/whitespace.php
index dfada7886..59bbdb8e7 100644
--- a/inc/geshi/whitespace.php
+++ b/inc/geshi/whitespace.php
@@ -4,7 +4,7 @@
* ----------
* Author: Benny Baumann (BenBE@geshi.org)
* Copyright: (c) 2008 Benny Baumann (http://qbnz.com/highlighter/)
- * Release Version: 1.0.8.3
+ * Release Version: 1.0.8.4
* Date Started: 2009/10/31
*
* Whitespace language file for GeSHi.
diff --git a/inc/geshi/winbatch.php b/inc/geshi/winbatch.php
index caa94a4e0..e86b03636 100644
--- a/inc/geshi/winbatch.php
+++ b/inc/geshi/winbatch.php
@@ -4,7 +4,7 @@
* ------------
* Author: Craig Storey (storey.craig@gmail.com)
* Copyright: (c) 2004 Craig Storey (craig.xcottawa.ca)
- * Release Version: 1.0.8.3
+ * Release Version: 1.0.8.4
* Date Started: 2006/05/19
*
* WinBatch language file for GeSHi.
diff --git a/inc/geshi/xml.php b/inc/geshi/xml.php
index 48b748cc4..1222e9fb9 100644
--- a/inc/geshi/xml.php
+++ b/inc/geshi/xml.php
@@ -4,7 +4,7 @@
* -------
* Author: Nigel McNie (nigel@geshi.org)
* Copyright: (c) 2004 Nigel McNie (http://qbnz.com/highlighter/)
- * Release Version: 1.0.8.3
+ * Release Version: 1.0.8.4
* Date Started: 2004/09/01
*
* XML language file for GeSHi. Based on the idea/file by Christian Weiske
diff --git a/inc/geshi/xorg_conf.php b/inc/geshi/xorg_conf.php
index 643d38d60..c32c460b3 100644
--- a/inc/geshi/xorg_conf.php
+++ b/inc/geshi/xorg_conf.php
@@ -4,7 +4,7 @@
* ----------
* Author: Milian Wolff (mail@milianw.de)
* Copyright: (c) 2008 Milian Wolff (http://milianw.de)
- * Release Version: 1.0.8.3
+ * Release Version: 1.0.8.4
* Date Started: 2008/06/18
*
* xorg.conf language file for GeSHi.
diff --git a/inc/geshi/xpp.php b/inc/geshi/xpp.php
index 6e7c98035..db0019dd0 100644
--- a/inc/geshi/xpp.php
+++ b/inc/geshi/xpp.php
@@ -4,7 +4,7 @@
* -------
* Author: Simon Butcher (simon@butcher.name)
* Copyright: (c) 2007 Simon Butcher (http://simon.butcher.name/)
- * Release Version: 1.0.8.3
+ * Release Version: 1.0.8.4
* Date Started: 2007/02/27
*
* Axapta/Dynamics Ax X++ language file for GeSHi.
diff --git a/inc/geshi/z80.php b/inc/geshi/z80.php
index 845712f22..2a9b14886 100644
--- a/inc/geshi/z80.php
+++ b/inc/geshi/z80.php
@@ -4,7 +4,7 @@
* -------
* Author: Benny Baumann (BenBE@omorphia.de)
* Copyright: (c) 2007-2008 Benny Baumann (http://www.omorphia.de/)
- * Release Version: 1.0.8.3
+ * Release Version: 1.0.8.4
* Date Started: 2007/02/06
*
* ZiLOG Z80 Assembler language file for GeSHi.